From accfcd22861b8646746c013545418d9898392fda Mon Sep 17 00:00:00 2001 From: Colin Date: Sat, 30 Apr 2022 00:59:51 -0700 Subject: [PATCH] README: flesh out the example and performance sections --- README.md | 74 ++++++++++++++++++++--- readme_images/sr_latch_EzBxy_2800ps.png | Bin 0 -> 34390 bytes readme_images/sr_latch_EzBxy_45700ps.png | Bin 0 -> 22363 bytes readme_images/sr_latch_vd_M2.png | Bin 0 -> 44760 bytes 4 files changed, 66 insertions(+), 8 deletions(-) create mode 100644 readme_images/sr_latch_EzBxy_2800ps.png create mode 100644 readme_images/sr_latch_EzBxy_45700ps.png create mode 100644 readme_images/sr_latch_vd_M2.png diff --git a/README.md b/README.md index 9e212d7..cb53a35 100644 --- a/README.md +++ b/README.md @@ -14,25 +14,76 @@ as the simulation progresses or completes. ## Example Usage -simulations are defined by defining either a binary crate which links against the `coremem` library, +simulations are defined by creating either a binary crate which links against the `coremem` library, or simply inserting a top-level file to the `examples/` directory in this repo and running it. -here's the [minimal_torus](examples/minimal_torus.rs) example shipped in this library: +here's an excerpt from the [sr_latch](examples/sr_latch.rs) example shipped in this library: ```rust -# TODO: inline one of the examples/ here + // create some `Region` instances which will define our geometry + let ferro1_region = Torus::new_xy(Meters::new(ferro1_center, ferro_center_y, half_depth), ferro_major, ferro_minor); + let ferro2_region = Torus::new_xy(Meters::new(ferro2_center, ferro_center_y, half_depth), ferro_major, ferro_minor); + let set_region = Torus::new_yz(Meters::new(ferro1_center, ferro_center_y - ferro_major, half_depth), wire_major, wire_minor); + let reset_region = Torus::new_yz(Meters::new(ferro1_center, ferro_center_y + ferro_major, half_depth), wire_major, wire_minor); + let coupling_region = Torus::new_xz(Meters::new(0.5*(ferro1_center + ferro2_center), ferro_center_y, half_depth), wire_coupling_major, wire_minor); + + // create the interface through which we'll "drive" a simulation to completion: + let mut driver: SpirvDriver = Driver::new_spirv(Meters::new(width, height, depth), feat_size); + + // fill each region within the simulation with the appropriate material + driver.fill_region(&ferro1_region, mat::MHPgram::new(25.0, 881.33, 44000.0)); + driver.fill_region(&ferro2_region, mat::MHPgram::new(25.0, 881.33, 44000.0)); + driver.fill_region(&set_region, mat::IsomorphicConductor::new(drive_conductivity)); + driver.fill_region(&reset_region, mat::IsomorphicConductor::new(drive_conductivity)); + driver.fill_region(&coupling_region, mat::IsomorphicConductor::new(drive_conductivity)); + + // populate our stimuli. + // here we pulse the E field with amplitude defined by a half-sine wave w.r.t. time. + // we apply this to the "set" wire loop, everywhere directed tangential to the loop. + let wave = Sinusoid1::from_wavelength(peak_set_field, set_pulse_duration * 2.0) + .half_cycle() + .shifted(start); + driver.add_stimulus(CurlStimulus::new( + set_region.clone(), + wave, + set_region.center(), + set_region.axis() + )); + + // every 36000 "steps", render the simulation state to disk. + driver.add_serializer_renderer("out/examples/sr_latch/frame-", 36000, None); + driver.step_until(Seconds(3.0*set_pulse_duration)); ``` -you can run this with: +you can run the full example with: ``` -$ cargo run --example minimal_torus +$ cargo run --release --example sr_latch ``` +TODO: switch between CPU and GPU accel in this demo. + and then investigate the results with ``` -$ cargo run --bin viewer out/minimal_torus +$ cargo run --bin viewer out/examples/sr_latch ``` +![screencapture of Viewer for SR latch at t=2.8ns. it shows two rings spaced horizontally, with arrows circulating them](readme_images/sr_latch_ExBxy_2800ps.png "SR latch at t=2.8ns") + +the viewer shows us a single xy cross-section of the simulation at a moment in time. +it uses red-tipped arrows to show the x-y components of the B field at every point, +and the Z component of the E field is illustrated with color (bright green for positive polarization and dark blue for negative). +the light blue splotches depict the conductors (in the center, the wire coupling loops; on the edge, our energy-dissipating boundary). + +what we see here is that both ferrites (the two large circles in the above image) have a clockwise polarized B field. this is in the middle of a transition, so the E fields look a bit chaotic. advance to t=46 ns: the "reset" pulse was applied at t=24ns and had 22ns to settle: + +![screencapture of Viewer for SR latch at t=45.7ns. similar to above but with the B field polarized CCW](readme_images/sr_latch_ExBxy_45700ps.png "SR latch at t=45.7ns") + +we can see the "reset" pulse has polarized both ferrites in the CCW orientation this time. the E field is less pronounced because we gave the system 22ns instead of 3ns to settle this time. + +the graphical viewer is helpful for debugging geometries, but the CSV measurements are useful for viewing numeric system performance. peak inside "out/examples/sr-latch/meas.csv" to see a bunch of measurements over time. you can use a tool like Excel or [visidata](https://www.visidata.org/) to plot the interesting ones. + +here's a plot of `M(mem2)` over time from the SR latch simulation. we're measuring the (average) M value along the major tangent to the torus corresponding to the ferrite on the right in the images above. the notable bumps correspond to these pulses: "set", "reset", "set", "reset", "set+reset applied simultaneously", "reset", "reset". + +![plot of M(mem2) over time](readme_images/sr_latch_vd_M2.png "plot of M(mem2) over time") -TODO: include viewer screenshots ## Processing Loop @@ -73,7 +124,12 @@ TODO: document Material options, Stimulus options, Measurement options, Renderin # Performance -TODO: document how long it takes to compute select simulations. + +with my Radeon RX 5700XT, the sr\_latch example takes 125 minutes to complete 150ns of simulation time (3896500 simulation steps). that's on a grid of size 163x126x80 where the cell dimension is 20um. + +in a FDTD simulation, as we shrink the cell size the time step has to shrink too (it's an inverse affine relationship). so the scale-invariant performance metric is "grid cell steps per second" (`(163*126*80)*3896500 / (125*60)`): we get 850M. + +this is the "default" optimized version. you could introduce a new material to the simulation, and performance would remain constant. as you finalize your simulation, you can specialize it a bit and compile the GPU code to optimize for your specific material. this can squeeze another factor-of-2 gain: view to see how that's done. # Support @@ -84,6 +140,8 @@ this: i'm happy to spend the marginal extra time to help curious people make use TODO: cite the works which were useful in getting this off the ground. +TODO: consult the licenses of my dependencies. + # License i'm not a lawyer, and i don't want to be. diff --git a/readme_images/sr_latch_EzBxy_2800ps.png b/readme_images/sr_latch_EzBxy_2800ps.png new file mode 100644 index 0000000000000000000000000000000000000000..a530e42158c3a64ed92d3fc110d69349c77eae93 GIT binary patch literal 34390 zcmeFZX;_kJ*gxD%GcD8NsFkIb<-Vk5x#v;}E~puEg4q9E|zJDGVj$wBq zV{V1}?+FME3-MQv@C*0%4~@7R7P-2YWU^<^**(^vt4^_n-zMVMNK*{S<~M`l%qh%PyGP8~~CbmwpWvpM<{GC}((Vs&q-D5fo}c{ub)bX(g5<%|2{ zgMD?#!M&R~~)(A8%gffR8jC`M#j^^uSwf~Qq;3~9}ujAI?Y5hIgj30lE zfqqj{roYIM!IOgp$JiKLDxR_R)bzyJ01S8xTg=T$R{7iKCOG z=ns4M?0Nrtfzh5le;jW7A8(x1(j+@SnLNwTNXA!meyFG-ofQmA&GjD1%t2qFYZIGR zS~uwyt`C0s*7;@9CY8H8I;hMP5hQ)Bl-A)BHt=xOrPtAPDz^AC3yTefVYpFkNO_YN z8%r*6V^kGdxD_+|g6#4r#*znLaCpc2Od4S=>xA4sDy65P$!z0KRHboOxJaI(yJ^(N`86X-}jwGrlBK-*I&lLQO}NGBlY1t;@<`IM$bH zgN8^uW})=b#H(^l!WQ+5TpEIQq(l?g);n_x;X|^g18_Atg9RC%jny_U&lZ1JiT^r( z=}QMa44*4jEM>i1+n3>YCDvqD;fHDiD6#kE<@2Jda#$k zt3LiQ!+YnCb2wgtM_AO|4Lr7sKP;2Wc`9~!*7vf!%gvQ<5@2L>ciCdVs<(LK=@?v> z@ENiawC^s+hLsoy_hgQ*IaVO0N%#osxT?^@8oIK#j!Mxi3n>)Ty#OdDIBok0H{%FA zA)d-s7lS`pS1TT@Y7WC&>U3CM6ja7H1qL))jEcq`$wdIpA;?`cIlu6q_;fG6Uh~9tTV1E5rEjG)@ zeiGTt7#1EE8~?EBC~xLpl5!p1cu{5e+CezDDkHf%+c7Cql{sa(Wr?&>bmc{^DTf+e z6nruFyYkMX{ERI7Rdq?6eYc47jHZ^x3IWF&<%ccH-u#MxbR-3>{guRj)Nfu^0i7ln zj+5WqO|T!Cge0b>A>U{|{J~O`eEn3gqS>5R-YyC{)4ATTK2-eTK+Z;GzcNz_y?_BD zM`K7%RFN<@85ygTo7YFWbhTuy<_A+3F|Fg(1Xr6)av78sbNaHNOxMNtx}weD-q@6@ z&(CTpi!)-81ae03dDAXuW*fSxdNpB_GPidme)s}KtrEks?A&0Ls54zUEQk%2tQ=iC zEwzb$c4*diPSHi-qe0@TxKix> z5SC87Zca%bsJgw8xb<_2i-8B$86odpVTpClkTV0@oic2###IlfFvIFG`Z;!ln4bNp z0Xe5@r`4e7-mw!k6(uht>5s2!mkTQyNxc)lmxiye<^3<^<-ZD#sOkd^S*Q7u z_&_MmlXnA(yWFgk59>689J}9@p|L!3Z(0G26l7mY9rh$?=m&j4Ypz<8@_f86{*AgTHU0? ziXvjX#vcuOK&bco7hr?AcaNvI4eQR_I6bU7lW>t)sVrL*;H-}Gxom@V9?ZI%qa#+o z-VUnW)Km1kG4qIYuctrR@pCge0E(l(YTez7cWFUO#Gk7yQ5986)@#M^SLiF_LS<1O)&@OC4y9+RXH&t!uJm@SDnA5R6*{%EkLd6O)~ z6d2=AyltGxZp%6Ej~lo-&wu@g7na6YOV&2$KW&?0FJZfQDar5drk)>0 zImp^jQ-W~r8B^tf0uhL@Z0S%oZ_d}nvk)@Y4A=U_aGwO>g=b_k%O?|tf0%aXG27*` z`mFnW@$q5Rka{SoVMA`tFM`y-MCe9bhV5qmXYFAZ7@D4uxwobrit2A6MYn=#sj}{K zVJiaLo&B2#k>?C@q4oE2Q~kZ%=+yR7YG5Dgk33IWsTtd zKfa~^PH%+zAjp(d#Lml{Ihl`(e}0*755zSpE@&bxp{AYwNbM2V6*fDHLnoyz-}5|X z1(8mdvWH|}>BU{3SEXq}H7ef>JlLAKK*10Ii%(sHfhf))$gSy7@& zDt8Kq(GpT$WObV{PE;>lPUyrg#9m-)B)hjx_RW)CS4*51-UyVknUG!% z;F&{Fb3%kYl(b?alOiLn#c!71#MRcjGP9s4cK$;!lD)1l$8V1aEOD;!y79P`Ca6V9 z>v&~?u=YMqilzyS0!g+`kGE<#%Kk=4 z6}daXo%Vygs|I1c394RtG<YSaS%v06~ZsIG59r5b+d5d$<2pmy;Jcg(FNo9gu=G%8Qqb)oM}G~Q5Ez4vqd!$+O^oDaowj7)pAD46 z8&xQ2|6(M3SFw_Vzr{Qe;TdSk-G_K9wbpXb5kMz+kk@9+VTkKz(Hcw8XM}rU8?Pq5 zj~A%bQbseuc3NA)x+^11G}dI_*+_DRxBKS6{KljeRVH^O`Jctl=h$7UH+yv%}ooz~1|jp-lfu!^UByj(+3xBHDf7>J2GKDSM7O6ySA#mv~-yX@0i zZ4n*=aZ%Hg9g{6mwQZC*oDuKs_MdL?b~BW7l`e?oi>*pqp&HCS4^8E;)nauBsmkzC zVw8TwZXlS?uaZ$W%^Q4O+*fhCo#(sKQ?SrY_aN_-714PvdpUm3SR z`lP?s)tWC=L4jAxyweJV-lG=eN>;0`$$ZBW;f2=kDo`E6YnMb|(IKkL&*D|xBA&sC z#d->cl;$|!08n+I@nB&ai8NT1K>NDg@2GT~P51KXn;?A3PK=e**`OxFmfEk&{wc3o z5qS6@EO7T;%GFbvTFPR`i>PX8nd9s&WPBQe@@I9MO+BoPOO8lGPzOVxHVGf9MWOc6 zNQiWv=8!;cZdjEk1&rHP%f%R;h(*CWbKhCTqnTRYf>QKzxv}7C5MG>bw_{rTbf-~{)Jmee_vVJARw%?p}H^nDQzo7N`+?v|* zO!g-U%vr6ty^;kZ@X)wjkT}tMd9=q{yO)KpQ}YV5gBx(&+FGNGGoNs+W*!YKlXTq& zC)5_~l1~J=OC|Ai6ULL3UBfcpr@Jxa#%~W~=!en@q%tpNY1n^D7Yr5ayJcARLq?@l zi-yW7Ph6r{W`Cd?d(7_FXaf1cZZ`z$KB{Z%8%(%W7!%yxa(?HQtM-V_-{bY|^Y?`g zRNa04ZQU=VlRKsw!+avCGwm`4T_ji8Ywox2Z$aWWq@{G6WL+Ow8#~Ops){1lx6wRM zx1}I#JA)d%1M@>g39C*5;IT5-pME18K8c63jd7@dLEomoU7!* z+D<;OWRSA3tgnPM&zH5@XN|;l{#e!uA#!+2TM3RcS@Mtjv0IHXa`x18SZ` z+#hG=$?QOP+ ztlv1KMI%uUp=*iNmt|6-+M}yL&F!Xki%}f`StACvI}u5(_B$nxw3NY=_{!5-A(I<3 zNEcGU3O>>Zp{@dRAh!yyd~K0FBW8Mcvf1d-hIdLRd?R<$>#Xiv-kTpJLP6Dsbup<{ zaps;rIx*0r^r}#XT|X#fmWu5nXk?wxa5wXz*(cwHLw~IopY5E2tr~B|vGJaV|9rBR zu+|%MEQ*cpQ{!vg@8)yM8dcu)ucZc-Erg4nL+oBLi!YimcTnoLU&v3cUd$(4$bcoL zHZ&%_7n9(8e0>RPOTXiD%=F{V@EW0|95|VgHLH_1s1X4l;w-J@B-%NVXy=}bBQqlo zE1muqMNYo;?>=;_7nCHJw3*#6^O!d5^)+$iz+R-Ww>MJAERele#-GfSU`GifP=P!} ze2|0h)!Rm1%kb&i!IX_IR@sJH1<-kMN|G{XY2JK^QPPNs*Don&XpJ48lA(PNir>P^ zrWc)dkgus0y3#8XuM*R%_%nIgZE!7d6}W)|Ee2d}74o)u`_DA&JyCVTq=Sv3>=49s z^0&awfVjw=tFLuE`xDcu9~<}(?*&3N;}=C{_Zue3sm)QQS_oF6H6@=!MO6drpNW}T zB|9|nr7ltIRGBUNTgf8d=qLD?W5Zg6#^>8-CP-=J@Me3At>Lo^XE?^;{Hp%U@L{vi zhUTH{xO=d7Jt8&P?P|t3>0grjo&BJP@pxqgrF}WS{pV7O{SZlZ9b73q;iv?v?p!uASoJmt<99(+ zkWn)|0s4j_4aC8PdyciDl>^G7f>>Z)d;eu`<<3<{!WOYII!jF>=nXJ`kAiT+-B+|5 zo+>|85c3BHW`;_ZOnAadU0NzW%D1~_a=nb8R^geLN{AVmSKF9zq~bgTS?gPdg6#If z#P=uliWOBFCHc!f3olF7jJFKRW;BuG72Qe|pz2M%XwYg}M#u{}CkMf?2x(DO&HMxx z=nQG+gI{YHa};Sm6|kJ2zHmD&os>8A0se!qtF#ng-+xlT&C=RHdvK|{5g$W+9) zwe}moNhrzF-Q~>Ya!X<;B9kqPi0C!x(BR&wLwap091Vph?*?+x&JSSZKeKe<>EO6-WQ61^sQi&1=O zBmkMWOOhKlV=u1@GYB*l8kRE%zWhOdigsT+$LHw3IG|shH@F$G{={ha&Rzi<-%O*e zPq8-fQ8d@ZxiKzRH_q6bO8x+l@Vyh-_4z1^cx_^?CZo>o$;OKIf(|m8PkRWSBAQ@t zxCAG+=WHV{AUI^?foNOcP9m`GkLKRPh>;EbuM9-Llr$5P6tA&~!!&i_V{)0ZSOHxCYWl zQki{E@9_yOUjPKx-u}C#>BLa7xYWiMU-;y%jS)pkGXV@OF;$UudWlwp-SD$>f*gLD z5pvs1>bVd$NGz|WefxBb-C7+Z{dt1axKzj@+Qf!_GnN)_3_#n?QnMJT2u4HE+Ml8$ znFZ!VOGA-^5fgX2XRBRm!*@TG^hEp%5NqeFsO^0_bQudk(W{NPGM3kv>!jso$o8SB zOz<}=4^|Ht*@v8(|K)9uhO+qFN4^uNu(`;8u$J^_QEhWQ6~)t&eN+}=(Ld3$FsNp_ z%GD3!%(q8N>Ai?NOrIuywvvbRT?{1s-4VjudMZ{ro1xV09ZA(ttG_Hms;VyVb#y2` zf$+xI8Mt!M+FX10OBoh_K4XOxoMx30G&uC0WM#~s_uxt|NpM{{IYIBK32e|=N@`6D z00CfR5Vd6uO4^ts$#@C8-Xis~G$e&oReoEBjsB|e$p+JS#6WLYRj-SQ0rNl3M}6n) zyPO0+6-A`@G>WQo&!0X$mp&|BX5!4$f|h8VW1{c!USo^-jj%MX!lgUls;24jZ`sd| zr+79-HaK8keD###fSn*AW|I`-s_tKwrbWGm$j?NMqPvPfWECOK9=C1@%Evo;)EVr7aUvTUYU_ zgA)R00~}d-5pp_kup;C(Du^L>^yYMWGA!`2xvZ`(sGFQxFZ$YHMrv5su(-j-w||SK zVC;=_qgtXJrl-NpR>-lX)r#z8(+UV`8%b}iYUkA3hw$y`OUhLl?q+4FPJ0fA>Ep`zBvCvyG^%q5mAC| zfXyenS_Jpn2}PkQlBK>MV&cdbQcRxTIFk}iRyNScQf9@3s4=4)a5LxFKh?BZOo7J3 z&R;$F-(G-;?NYx~#CW#~)IJ$8u(f_S=k$J#g(W}zI^0{EUx)2N^PjHm<@D1`*n~TB zPV(LmvaXX!y!_nrKNkM}VR1F1v{89_p+Q6PkD4H>#MDkRVV0V(y4sY@AfzGKCsL%W zK4S~si_zvoG(*&aFs6&%5k7(OywonK2V{r$+cVLPg|4$_@FktNW~6`Y+qf1?f!gz@ zt)rSxxU)~lIS@`|vdhx+IlqVqmh4RjtohOB?$+{GDTh-a1~ym+7aJ#t7uI3Z6Cj%k z`z#9IM@1DzxGk*>THZb!%5T51pO|UcmpNp7dBumgfp2> z+cHFL1V7ighIJ-`>W2z-JbL|Hf)i8Yu4SAO-|-lIl&Q(&o)Jfv4XKGg9PI{JAFHXp zYjb&Te|*R_Ou3##>zP>}nNerryDJlreE#tJo*;Qpu67oU4yyux?QYRbx$!gxxe+mk zWU8$l6jiqi`5GI7E#$n7gHPv_0Axp6<6SLE(sKrV8WUoh9x}a|?6ULKMqreW_D97D zLkAswk8L7=@tNi?8b91&fptKvsK8d_4tu6Q98r3h=9wiR(EWm!W7Ji`aIhPz57R2{ zF|y(W1Xn&BI3NCQA;LtpdCZ=>?1Wj=q_mR4`;R zGn`>?oBj+H+WGarN)*4MSVo$Fj~Y)R)NwW+K3ySVDNh;Ev^xBzD{-wHbZ`-l@wyd|KBz=awv z@G<)E5GVA)c>Pee^7HKXIav+`2Z}v>cp2EPC7zEeGpQAvn93hbB&)LX4J(Lg0VAw% zj(f*WB6wFlEkC3tq4)H6P{ra#oPFP)*y)MK!cU%& z85d!;evU5{ul+id`7h|fd3G%3*z@>7@Y-HL*V@#HC%#i`eUY*`!|z6dr^XTAx5v|D zQR@`&UCGxOmOc+;6c&*4)7at|mqJ&DO>qC8X?yQAN+1$8*Q-TLs^&C*#yHZO2QpTzIF5~>%j~0C zEm9u6xOaw1^yzwIT0mcT#AL$wfHUMU1i}+E9FYivUmq{;1_uhm1mhHjj3jY*=KZtm z_^L*bVcUwq{K{%+*O8JrSywS%Nd8@+oUPR9OcTOnXNOS2A^MkaFZS}9(1}rbqiu}S zM;s4mDNwtlWcY9w%*=b&`9p3WK##l~bH2Ty+6T?DOhdGE=A))6mcjhu0$XkJo<(nQ z=rN2QsjWK9&FGciqPN6?*P1J^t%M2d4W~(kTLgLCIeEcvpB15(+a^h0o3zhuZeJf(1%kRhVP0pht7DBOeF(9+To}x zgP7zd){#SLc>Ymw^-{jm@^$mHow`F`hqvg$>!W0(3oAPUla1J3U*9S#M>#M71lF{{!$iof9X`c{uGf;1rj22r6 ztTBOC34|B90NDxdg|`(?(W`s&r4=ch{4E60&@|M@Qk<@hu?!-T071w&b8+GyH!jks zu0&^8%F=dueEM9(WW6}CAzLsNGulEELqHe`b3v8+-u7W*rv@E%Q;b~&K8AB+0?Wr5#;HtS#B-4nO@GTlYf z5`vgHmHfyW9kZSu3*``CWW+LJ)_&h#F}{e&%irQvnAkDj0fv%V*LfFOsZ?ef>KqsueOoAT5_JDVeW!n_8NQR*JY0Q%laKE*(+n$u1w&{*r#S9B>8>g7 ze$oCcJ^PuM1j$#{%Fta?jcFi0l%9#2yA!AYKW=Dxxgt8EB1^WQ{LFFxkf8eN1!Ax_ z6uoYrM~d*>`Hy3; zcEBK^Yp5GT46U#1&va|EaUpX!0}|wXPXf{gI`evKc>53DYuhoZh6%Zwd)KK~XTe*H zqE~R>IENU$W-;GsEvma+@q-fm^_HgUFW&db){QT!=LZo`C{6L28qDx*fYv9H;QSfftIy&D8*a*nX=DhabDsU{B$A;(3 zDwgkgP%lkDBwbafM4~OdGU*mW?Te}6$4arH2TyCJ#<5~Us7193?sM=Ims0^;Cj>fk zP_wu7g9O-^F*^c}HU<0-Q}z~LA>uI@4Tq~}^|7r`f&XrrW=>R#^Z1w_#|g4T{J5Be zvZy}absc-96>{wT-f&INx)jO359BlmFV7kwS~rhHAsvaE?Xc0+136ihMCnz1S8M>8 zY}omQa_49izkO#|7h&%upNf)*Bm$JjBGD>&KR%dyC=D_H$r_AgmEEal#$3huqXFhU z9I80mX?SkiK1z*f`sln zbs(p2x(g0sr4F!~>&U+Z9UoI@YLE~08*}k;o%cj!Muuc6XRbcK%I z?2wB%smW{BH5yWIZk_K?RzAK!K6R%Uy<3F!Zn0j$rJUc{g-xFkTBWEts6butCKY^{ zuEm&CJi>8@V>KWh;|`7K{O7y8e0J7peC4yd$r*{UY%!8TgTcZRtYBTvR9gn%1Pe}? zCH7wV@~;4p_r!kyAW2zK)%8c)9zKR`|H0(3r(i32Z#qmW&YWXk9YsB(uJx5Vj90_$}%y$?loAHF;ef7W)Yld)M<}x2@Awj$T-Sbe3|+rLu9@D(XDe0l$jD zhg%uudrzamqkA{$UJ2=E!k#f8s}ECd-o+w=>iX{kBT0*5Z^j|u=m4&^toY%bXOj|k z7oPcZX?Kqo*`GpBEQwZ)=<;Vrbf?7Xu~}+%@RJvlPQUi|vdfNQJDwt?9Rp}rgl6RF zm7I;i)VP9(AW;0|KP^(&;cKI$!A$QwUU3j8qhY_e=*Y~0929zdH|0e%9#m_avz>Da zkXkI7;}&XXj#nX)BFl#wtQ}z4nKyPl($WxPm0IAboke5+fdYon(Hy--?YCs4o-;r- zMGi(4HYv^|pz3y7Jlc-2jaf1ni_j2)j$cNJUWmogT9RI!rtptxf?TBwz~i6%LFbFC zng1(i1(w+gDC8{_i(>W3U7N$NCU~2`xRJOTkxLYvpZ@~IM|#P@RfURhRr+*i18MuX z=%vOWnj?6s<0|&ZBTZcP$fx9~xhUG07kCQk1H#tD-ULHwGBtS|Q|BN|V0&|C+?++q z0R!g@LiNwa#TSO`I8HDQ>yy>)lri4-W+}X==_sj7&B#T11Gy?HlY#upUmNfS)nW|sGzPEpVEJ?QDr4w%XZ#n{L5^b{7b)y zm-?$B3Jw0RH($?e;$|GZ7D5}e=NT{h>*J3WR(BtyWeSGiPV>Dzs!K+hPw2=P?MLR6 z3j@u0tKk%BOlwLDf6?9lt0%fcB46u0b3!lo3=Wf7_y>1zU3YIO zzfZJaglz#dXO4pZfST6^c7QGB@hWJDez#ofH_D|}Tr0)2I9H}!`8OlnpfH^`w&SX_ z+R2`3EY34I2GzF_8pvM2&-dcFS#`C`O%405(2k3aM({;&c^eI?u#BtYJGbjF24z(e zQH&v3W(C)NL#?AdV5$sk9Kp%OhE6Ke;zv_Drjzt=YRnDAfn#2C_cx2Udg|Gso49q?q*jXKFB>OBja;@2nSX-jXQD46~Au_ zLLA$yfknl<{REh^jlStcjLAmza_CczH18#%)sEvIye{pQthjL)oH3kS(O8KweD@#b z?s3!xG6sgDQA3NPjyld;PE;jua$t~_;Pe)ASS-UlH2a#o9 zWS8u@2=P6p{not99>iFNy3VMW#`YG%@~8-6QorXCo4M`5)je-eysq|Sa4gQj^cEfF zl%%+?J)*0W>M4o-YvGzf@Pb9!SGqDO{^H&#M ztx?vExu)~45t`8u;&e0Z)3MV9gxrwuWkb^yZ^CLz{C$}r+&^45@po`a6hK3=@)9;b zA@RwD-r~39XrH3|T%m0obTas{ zv~I~5`vqV`+}nf_%+KM}ndt2`S}&y%Ha75}748=*X&#pdVTi*wUWU=qWy^mMmz|S9 z{P`5j5CJr>&ime8@;EL*9pAOmfEy=R9RvJ`>B(EBRBBG5?nL>tw7&<;11e;%k8i*6 zvMHMm8?0zNpCmpXR89>iVpgrX$#aS*1qIn#IMCiY?GUFl5cBS4x`&j;2-x=kLO1w4 zlm6Thm~_1vHn!Qy2t>V5Q`W1FVvndq0PDuiu$tj|U7Yzpf=LqRwXM8GA$`g8=()-1us~XFLbbo9%45VLD|D zpG0w@2BS>o=y{z?ku<~?J<{){_tvhgoSK+P7Jr@V65{SbjZhp&XLvAT9pzKgG)5Ng z^~R=KADXkk2bdH~{P2tx{$x_)!%02VW#)c8)3)U>L(FwIuAO+8)*i!#_PT1}6=p9$ z69oEQkGKO)e3K-^mQ_#8kjj1d)RNEFsr92(J!@=5=B$3C$tO4J>3XgC!TKWq0sEJ& zOA-?75uFl)z=h@Q({8Q~uBdz8qS#|GK!uhHO*8OhZ_=CQm7I}fplVyYz8v*GM4Rs(&Q*RV)sqVnZ)mvgFe|oqww)Rq(sw2Ap`ph}4 z{g!j@owCV5u%gye)Ws?5LC}TmRvk76K5cYm|9gc7Ou$G}F zH;v^JZ6Fg)k`6LDE#b?Uj2XQ}6MRu)yQvP^`;q>-<@@2rsv zUu}I4k5Dh-$b>9zEh*QZtMX-ZIMcC;`PrNDQCeyIzKWBQ@A##gT8?MN zSrXbI&_HoZ5Ul;Z{e$e}??5=q^=1O@ks_@eG0KsMcsijfe1q5bk^8&%9e3wOegB?ye!y;;>vb6xY&zG7phZrG3< zn>H9`bL=7Dmrn8ZRm>;XEc+X!%}BCe7LzoYQUYf(1N)n2A|~Z}VEl)kIVAq>UW}Q( zEc*>GhAK4b7jeMB5Q^Jr_^wup<1qV0qlAXT8_a0Gu=CO^f}m$(`=~*IsL?{%T%C2g z-C(GZ7scM&ktqqy7BiKfj$fz;^XKy{gfa+6P;DnChOKN%zMAJP{lN(1>?^o)mt*+VgYnk%vp-PHhB5+_}Iwu zl>Y!fhT?_m7rtG6rk@jrE_HycdI1K`cnxdQ+E$S5P~p<0H15RyGe*%u1m=h%qx{E} zkbR$<_Pd;5KNy|OVNc9#uVA~@CfdLS^{m-B`l zHgqhpyQVHyb#^b4CudlyGJmrg(sIgy}q3z@!Lb`8W4nX z)7o&Hkz~Ep1&`a9^2c3 z%Uu{l-th`7k?fnDO^O`!@0ToS`Z}g~t*0lueD{>)0%W|S;W?>evjxmw9uq5lB1QxS z_rCbiJ_+Oq9~!kA%tEJM?XISBD_y~dDKFL6+<8qDSyTmyd0AF)bBQSW(+l{Xka~&Z z>=uc^G?6~o#+1EfGlX+Zywtb3NDZzo^6cdOpFYClx;-LM3&jh8aX%00=nd*rouEw2 z5X;M>DjZH3zj#-(8JztotwuB+n#b5%y3v@tv8Z1qml385SEZB!rDS5|h!Wh3-&$82 zzc1RW#@U{7=?k->vB6{$ub0=_!M0RF1+(p%8Wj8RbfZ_Sm%N4bPDG=Ty^F{<MKr>uE2$e7tTG|y@#7*s30VZd!vEvjyAeOeZisDn_gT_N9(r-Mq zp(QAy?t$nsxrh>jyar>A+wj`urUsL9tlk7%!T76jpk9|$aJ0X~^QQ@+>P$V7HhHU* zX4%{uPZA6HMvwk_W~?p$!0{B9#*M!-ScNRa-RC~pYAcyneRu@1()DD3=0Q3UD$b~| zll?Ly9)c{r;=PTw@g-WKs`xt=xq5*?WqxN|Pzhh!00^%mR<#}l@GvmzB_k2du%;kK zBZ@b2yb#+es=BiC_evDbAcH~vak5x<>JEYSUV(n|vz%I*yN^#;XH1;7@E+)}Hhan2 zhvvE&WppQs$^o5!J3^6rd2?yKX*{RWbrV(wn?p7<TWq%-AA`8LYMcQt8IQ34MzP1&SOHTJ=JZMK>ys0ORenfa6ZIhs zDVsMj~NTM>RNl)G%x5>puT$x&;=1@k~?MVk~J=A9Xq zdibMOd3W+%DLvGVv+s0W9KaHwyK$dfS+$kdQYs$nN|ufYN);;nZ1T}W?yWC1q)eud*KI#It99}Wf3B*UrjSRFU&1bC)S2L@#$w$I> zBSuX8QD43L3r^5Rh-=g9TN)Y^uQU%KzQJ3C6;xBFR%DOqfrbXFkPxg%{MLLtk@@^a z$r$SAqjvx81!(Kn4Dl;Dn6u7ctBXop@n{}%!;bbO%^VVUln^W7=68q$WCJi9YtUfQS8oC^@Xtr_ zgHza-0)o2N5_l>5`*_3ePYUWHN3`aCB<1~GU6NB!-n+K~e=p&@!k??q^Uf0Qxmw9~w1=u65DqOhTrhlR(P{{mK&FEKMT|1+dn}x zQL6y|P@O1ThTyHTu$<8mvRg69@%Y+BP?l8)2}O;S9Agu@*q^um1c~YlFWdq`)CK70 z3oM@`dlx;HUs0~}72dCVH)Rq!VQzBP^LV$j8+Ny2a}a-6NY-7)(zQ2IF38_BC`b( z=vU>Q;*!z_F>u-?uXDi&MdFRHBH0(M&{kRTF%A>ZNBZoe#Fts|Rtupfs{+ZUV^ z4O#7ijiBa6Gmo>sNAWXo=sJg9V}e8Rr8@#W=}%DVC^;jO5kDKtRumWcO3oD%SG?2d z;p-SJ!*hz&_+dQc|0=K_1PM+e|JF{Z3TYnt0tDll?LTi3o*maiaquX#-*qR*H!$!U zX=kjKX26N&kHBoX-S8#%HOHhMY=<2Z9s3#c+)e}zVHC1Nr3@G|4geE z>f$#U`&P8N1sQMd*(TdhP!U|Ibug%Y+QQV3U^e^SA2D0M?{<8E9&JjrIfSrIpbfdcB^6-N?+R10guS zT;7PG3f2};oU8mayQP$)r*OuK?D%wJye;^Ah#wC=^ti}@#ihmPT})Ep^o`j?V${<| z>Pu1_U?8ZO`~dz)Nh-RK-GMdo>kk~h!x_I@<~P1$9hY%h9jEtg+M7Cht>|~tPn+G1 zJgN?Erifo@j1HB&V5|Or|JIKG6V~zB`ta6@!cWpY(-)@?}$X8nK zBpq}y0i32jhKygq#NDi%y@=_6t#8Uq3Ht(_7YlFy`f&y0+LO=XUn|(<_l5L4$3n1`^Xxk#Y zn`s@84$MmD#a5S;>ou#%QDc!ZQ;t5A&koaQ@lz2Y;f1axHqrgu!+}~M!Tbuvuw3S{|EQ9(moSn@6j< zj~sqV2TP@AyZfCDeM;~7tzG#iW7=ZkP;XqVYad8x-?5ebY@c39=R%n&%zW+)YC zdtFf9>$=v5d*6vZz&7ef8zEM2Uwe6I4nb@#xA@tfx~F1N-}Cr@380_Q^vMdW`n1gQ91~Ommc< z`j@DOtzxx2%hN8eVE1no4B>C=KmAS=pn)B#`i06hY!hZ2`!&J~e{_l-F?=$d=|YnW+!019zd{!A$#tsLa$jo&|Pn|s1lHtRnnM{4++E6~i=!=DS<72#y zP5Px{mL18X7oySvaWLDVDEU&M`F#6G3v=F*5>2J9Ag16u!FIAvF2O4)GVM`|4PF#S zAYr~WdV%8D?n4yG&8*VZYG~uV;q{u9R_h-cxr7JH8L;;MUi z$Rz!m0d7Q#WgIo+JU3otWbP6gTUV`V{JlyhjPs1m(7{h~wPfMGzhSeNw)5Cp!i z{JQV(4^B`2k^N)GOT?Gr%Bq6o^f4RYmcV^y!KgctdUVOE{GPSj1 zHBtS%Tub9%)K14_EiW`Q%7pz|Bh-TOT+9s%Kd3UJTZEQ)Smv4ifIveK_luFs`0ec{ zDmKp2;>Qe@*D^CLKI^pAR!@+WLZplKN36P2K+Tr+9>nU}68l9*XS*o^pb-U7&cZIv zc`pJnR|nre%7rmraAzoqSATI|k&MJb>7=nvLqU8Ll(c~R+Swo@F_9&9tE{D&#Prw9 zLt9DlSJEMiK9tA*coCTA4OXjW>4bUp^USTwdD$gu3bK|T=r`7TP0uqQ&Irs7FAFg` zZeGFTrQsW4#ZdIt6SY*Xxoh+>HVJk1i@~>IOmF`Vw_r$_$@HnSSv-LJ7aZn5kG3)p zF!+DU`_gc>@;2`2bh=HeindXTDMjs7`w$M+L}g?wzL(5s@O}$zLaFB zEeO*h)LMk7bt)w!B~2riM0ii1=XyWB*JD1v-&_RAIp=?GzvX_^*{-?&E@9;t&`BV* z3&hTOaU<=I3l;Cu+ptQsCRun=E6-67Q&cDAS2}3{0`YzHoo?|7nAK>dOjD0n&1rp@ z8%G0dFf4(nyh3=OdR~NDL|&ZMGF7^lfC9*6G1ZYQWaZ3okOFO;J-393`hnA22;f4c zT8kmECJjyuL3MH*Ru!$IRAExeL}Y&pqJEk`)*MSzw9)gqkCZsX*^j z!U2VQgM>;NY=P2KO7~_k!nF|YG7yG(_=<6t>>*uFRjWTr%xZ3?Une^9dRsP|E`ZJf zh8Eo7^!zS#pD+P*LI6C$pFefBIcqlB+EsL7E1!Lrn01zL=QUFqY4d5Wb7T>8@IuT- zP0MSqytt5+rbaoIPd@$r9w*dvB&oOqm={)z#rib zNI7L0wr(VBz^-{c;=L~R-c8EEi0U%LQa+zw|CxFu$0$VdVuV$ccYaC*n?L zYcX2>0i-#L41&~6wk>M_d{wtti)KzMqgLDCO!Jk-?j~%gdD7x#hW#op#Fs?8y5^$$ zyt-;aZXk8eOw7y9@aS7j`L0sKoR6KGF!lb_>~4dC2x6}3TpVklBpr*gFGmze5IQOM zM*`ieoaEVra9nR6A%YAcE~qz^Z;qEtHby3q?iOe@X2ZN2A3BrNiq~5%i&qqY(@%bc zm(FUG>t~9VKMZz=D}b{8F@$eS_3A07M`;*OW)jO=ShR?*i7^X9yOHhkzHYaIU&0%t zX#EyDkFggF3}^L?zi^#J)im}lkv$gb>vrGjx~#*i7sR|ofAo%*cQL*gQ@N2!bYsPq zQ>S@|{w_Z7@2iam>7@afH7>JnuH}#KgJT@xev6VwVE5y(zN1?lf)0+R4PNBe9Y(dX zMuAh*s(xwnef!M?LQ`8MwLlA3nH>iWR2NagOfW;!>POG9dpo|%0>)wuMV0U-D}pMG za~G-q5f)+pvvT#{J$LSbISQG{;==Oj3NJ3->_PPc-3VNX$(QUSn=V8zlm`GSMv7T0 zQT?uwp8$F|J|$rOQy8L++!Gs`cg!F=UxF+nZPr~o0$9Gpscb|q89v;*vV5PZ0nkN; zTWd`Tv_Pb;Lv$y=>~}Dc?%pEFm=2oLzXaObqFOagQ*Bc>*Dw}|+M{g6;PWF|beg7{ zi`+=PmG=H3BqqGr}j2N!>-Dap9&=%nB2VMEQ!lQd~*(!r$Mq1>T!QU2Ybv` z=$z?!lXH=;rR?QES>|O83w5Oq_baRNiBhTxe%T=Zt<=P^mQ4-vdG^>bpybTnC`muY zEv~WJYSi2Jux~D8SJ-33?FE8CX1mwv8;PiuPl93c0C5XnY_B*|y7!N4GH2Ksj78s< z0|#lu39?6@g}1lExD`ts_ne-XTprXhkbGnq6V>hE*{LY0bgQ-vzx#_WRYWS>3dSm5 z`3311sQ0!OxanR};a`>mza&t6<7aVibVFNDn|JFrP$&9w2)%}6z5;=nQ8u=Z9oV_U z0z7)+ZnRqmCw2dMBfCWiX;@`5PN^@{>WiLNq(3w!DXe$8Ok2Eu32#c&arF1H4C-e$ zueQG076t`S)>m)i&HcW#)O_HF#^B<3UG<)(2V~AK6xC}2Lc|pOT1s+K^lDn0 zwFsCWv{d4$|1w!`REI=uhs!`Pa%*!i$Krwp6kNPj3t?vGcUrkE1fh{zL=zZov zJs-FQ&f%?!9YV4#QZrg~TP4zjpEgY<&aCXY?Ju67jgU&_<9s$)f5!rJB}wpowNx>e zBBla#ct4{!WFI7?s&?0nBqIHfq|S0$1P2H%9Znouq@vDgK(kN4 zVpN4Xm$Ca`GKjsXHRnXqvS?2n-;ObP5%U!SJs0J8s^1KG9oErl zLocJ)VQwymFTSYT&ifH*vvG(YBKMiWM4Q#PF@4*|KB@<=pnrpp?{qqo_(R-1+_g+a zTec6E&`|(Wn{^3kwe!kEeC3u87ixce-Q_TOFA=ck!28bqNEKz|^HE#If`lTff%NTD zFQk%+uic4*!SVB)=ZTog(4^Kj$*>b8U*0MKYV)zrN5;%3PUrQTgUiM)<`;W@N$S3% z7{a(hbB17mDA1u;C#Jj4mx}?6ehdt~?}M5zZ8ZcxG^tdK4GP@-ooeIfnVP5j48q`$ z9tkuTMxoZSqH6+Gwn8SdobAbeg?irSPnNOF!_|OZN38x9cybHKkb&y+~&7R6P1Pus42b#H(< zOt(NJq}YaH@yNiBEsXQmTbb}vRAOE+?}elxk?xp#;F5=mQJ zFCdn$l%Ii zw0YL{yr=W;%Hcvs(uo!pB_AyNrS9KUf0mc`y1`!geN|O=b%ad7wGNA788rbF)U-@| zwsyouO5tEty?k^_bl1yYRFM~s)OL4!#Akmr>OKiQ^HPsMeKzUdIcErl2d|V5edog1 zTesKPH30_nPuO|q5uE&KqDi1rW45YGPf5;Z+YsJ!xR^&6>pOVh(L8$UEV+|- z;od1!zAY&GYC~|>R_xN3N1a*i(ib%40PUa6V&M@`?W^z9>fzhYa3Qxbm&tf2V$Rs}S{Y2DeUQ{Kdr0v362#$q0lVM+|%xkVu3UN|xOja0U zBz2UZR~bsGySC&eqiMPtJ;_i8S(YrEkokF8K{iH{WWD!2X7`xaix8VuO+bL5!kd2} zAUo|%^}$GOiDBv2!TEsppf#VuiCNte)=QO2Js`@}{&Dt*axOVe)ER%tOb{I)Z6dpy zSsCMjr7uvJ(xE-aGY3Z6^hwan%SJ~etf6~e?dD7SGkfk{k)QdE=Dx8$6*ZilpJgdk)6-Eefxyb6BNak6CmY+HPF1u`F-RmF_@cPHy5|AWgJWH z_j%Up&*e;2LiJq&D>hh#I^?@mTQUt~2>41PezTe)^7|Jof#!Yhm#(KxN(-`(zf*@A z8G-l9{0!ZD?@rU1MGkFy@DCc|S3<%+_l+AHG%>!}Ei_7+QPG?EdqeK^YmI<~<7UP+ z7lWm^V68Q%1;LW$RZiH9_?u+asrAr$ju70%kG7c`GI@et5{X*F?;E$UVUaV>C06{W zH&oZSma!>1*y>>g@=BqY*uG(v_$6 zd=+t*NYMSTz}s-H=e_;)wwtHcX27J3n}ug+`;YdjikIVTbk89lr!!CK$efO(U=yA! z8gC!W{*_e1lUZ?EcHU{dq-s>=KhV0(&$-_UxAF4HCu{#i_I7!K{Bn;owqa+xz1p|U zPbZ&8OtMv&hm>X(fovjFqVBF~onU2Je1>Dy9ZytE?4PJBd|(?}neUZNPb+r=LjcZ;d9`D6ApO!!pL~ z9Uuw4>rH&%KkXHx84i*+BlWp9F}D4AF0Jz$?m!KcY@<^R+?snqNlnf6HuW<8N)uaY z3ocq19VrqY|8Od5L&Q-ssFVjXkJTk@;bQ6^oU)`3)lL}HT~t*!t86DgAfF$7-F6)I zCu-K%rr5c4vgS6qWcmb?BvSjdi+Hf-9Oxoi^Ew=qad~7uKp$HxC|7KMG9-E3SL1Y3 zu1pR%8~!t6XVSl%Aq`h{q! z>4$)UeOYgS=39?Vg-MYj+&evH)AVq}m9M}oiMX)h9+j@p+Qb%dT)yNl)+&5fYwwT) zT{ludURkrJXRGhxaAop>7rQt0*C^+pBtxlaLP+p{u$h=f%eS|oK{HOX72zz#WVJq7 zigW5$_KaTB*)7WC+l+7fiACl>@ZtlU=7LOfo0!Oz#pd_2J4ONsjh`=<3>RFSyeqo5jdRB*FRm$Bd{$C7fj%hPuwQkrt{ zK{xGLUEh1sDMq|6)7g6Mhyat$Cq;3a1zA!L=WoaQCG=a1&Tl7XZMS?runFke%ElD> zmVuih{V3l_Hr<2Vi}+}f9A9orcmqtsehbd)xafCW+5KwUgP^k5vPBBlW}j1$;2E0i zNuKhfuy3KDous6^Xyj<%lPFsGb%Ax)^`u92J(#+#3tWtf~f%wUo zV()u7K`hN9)C$XdZ?CH9|A7XYX7rk@IgK_3EGFCvN@8Bf){lWyhU!zt?6iRC=O8yC z#ix#HRTWgEHY$&_P5VfM%> zxiaU@P6LvXhxAeZ{O&*76;-d~OCK%6T}UIAti1g=-hJuA>%mGrJrbK|{;c(OIubU9 z505;h3trwUREzPf#KcgRw)xlFEK16&eUUfdsK|6?itv>>IX!7j(F3Sl~8N!sL0qQ zZ}zL{?q6?U&Pbiu(AdT+WcL7CDb@plOIF;`O+nQMI}6^zKkVP1MSl=M&fBez2(2xc zHeUfe8wC7r-Aze9{_36T(>u!fqpLt-$D2RrOjj)Tn61y_`(A}}gYUkU zwExZ6?`+>7*y2W7O_w~^rr>);YukBe&EVPCs+{SeG_$f5f*J(3nzDULGxXI;u1nTA zjV=0kZSd0K&2D~K?~639PxLiXXwbaV?jbGy5Pc3oX>03bS8qbJN$2$-?M$D}JqNDDExA$q%$;Y!&o2sr+rwP?vt_0i4;I2+!as<5{qE=J z-h|yx%z9BCcP}L==c?kQ8bV7;lUCeJ($Mt(`uFD|fE88{Gz?K4D~^i*(sJZzv7MG; zh<34`yu;4T8rfaNR6J~^qU{g$GKaaxx1aUC@pUvjx=g6oCk+AU{?7Fj6#y$}B#o*M zm&d_Vj<`j>`%x1Aupl{Mhu8P!CU7aSf%6Sb3eO!yqQ3s~o)Q|QRVP;fyfYvOEF~5| z?=1-D*MBBJ)23jfbq9Q+OcJbIASnL=lNb6;w4QDLW2Ldss=4!CfIm!%|9I(zqK*~t zT@d0H7i>3MN*ZtY6gn*tJUy`O@_$p++-9_w^TxEsY2wg?cdhg_<7utlqD&F-o!pe` z(`~Yry*^p@Qf>*26j5q&3>yb#zJN8Y=BAtc-!ai(=0@Rt_*>16Yclg~(r+-K2>oc3 z+?ETuV%~?`*`x{yeAXR-4zLYwCd4X72DJeM@utt*yagmR*tKS)#7)%TMlc7L*HstDCPQ#13of# zc#^B-@%z8O7`wnQR6_IL?2spc5X+&)UWL+iKP!(Jm39Al1r|#PH%@W6vT(2XqGzd5 zEpB{ZO8)I4Od^)eUlfv~Fe)f=;iaHN33>hvP%jR1jq&sO$x=?$IW31onGet;5%Q~W zM&$ggV>Pd3TUeT>*t&hJD8%&-$td)21#;~#mK+6kCtROl${K=sDL(VCPMrsVPA>Y2 z%|=J8Mcs7pmDvzqZET?qpeiP}k?6+KV28y(cbm20{ISk49ViR-Hz}mxGC-l)f60!C zuL&TK*FQl=?)LA8`3E^Ke9+2ed@*pS=muuO6;y)d@Qmy3+@sa)w?+n`OW`{Y!Z3UI zLLcp>ex+E-X9h!Ef@prglHn!1=z@8FhpTt}Ka+MUo;x>oU%;As+{S>XP7AUHIn#H)ZkC8 z*p`tlSX||M1No%W{K3j#_?9FlWW8Zp{=Cj{&gh zT%RKy^9|~Mnhe1Db=_4Lr7=m`pehcFsP64O+2^gy*TOEUYw4JV z1w{X&!L7fyDT^>%zP2=J91&(7guWWbZO>`c3h6rm)MzQm#ypfM^gQBk)8lxJ&gSiJ z6-UJ+Xm5stjy|I-$@w<`#I|Np&d8cfp&@Gi?p#s+E$PD zRJ<0Tg~bD9wAT6jDfH>@jgE#Az&?o-;1k7#+1j&Ct8rtHHaqNCiP7)h3SjT$isB-; zcdMEsxA8~CCn~;ePZ}tf9FYJW_??%%X|$SiypK{_37&Qlt5MV&Nb217-O5ncj=#1KuZzsR zEm?#2e1GB7qD{gD#VL@$(X^sXZkS+PY74Cf_lz?!6#9ZQ86d8-_jvgBCxCoVRv24^ zve9K07h`pJ#tnODP)wXhQ%&0bKkEhg##@A> zn_d5+XP!R1?4#{4Iu?mU@E;*L&%%E&nn)+lLusw5PZIE5zqtIGQ?l?(ejG#WMn zj>GckXUG^^)XV>m$Z35$Sq7!&z)FOgg@=lmQ);&jc*?jEKu|v znE6UUnHQ8f*GtX_dZ*b&m-z&Oqm@Cci;^yY<0!fb8paZmt>+3{xLqkG_U=@aE z=+)YpcmP)x;13DO%$?Ci+PF3LbeFd? zMyBNEH2cEB;HdXuVLG};)%G2GF&Ah|V{@Xa{%*!1OTNGsL@rjhqB!Z`0RE28BlDnA zh_>`PF!3RQFwtg}y?jUk`32X=050IBGK!xIoVGsOM<&J?k}6J%2+%@#i-n6U8Xho^ z#|9TfMBoB!UrnKX$in%>vu|rC>2Otl&vx=j7k#n)cd?+r{g5?$fZgO^&LNTJ2JGCX zGk}VDh=#n@4m$8LKeFQM940@GP3)B*5R5qzdiNdyY0Yg*KuPb`-0ubqPTIXsb;@Fh zTa~gZEwx?T&OK{KV?sD(+HNE|{CW`S1j5h<`fzaC4Zk{MxA5)D`&4l5_UBKa7o1F+ z&}SU;0SOw3oIM! z)?UVv;u5Vimz?H;&1B6hQRl8uqp0EJm1UF3$KH&J{j~(U&iWmtz{{AZk(SlYYC?^) z0iy~kAweV0!(=t9*%c?Y460Z%5gOc|fxzC_4d6L!fIF8n+;x52?DZ7Xu7)cCqCHc< zAW?uTum)`kC>8uN439lzI8KdB8ZgeKy&DR)jZkjZ{22HzYWi`#eXq%NI8Xf~Jr}E>1U-h~czN^cIJ?t@CN7x2xNODA)ddsF zokaW^Okk6w#gRN=bREwR{o$4KNH5|+`WY+gx0Qs=YkPHvpZBmjWT?SPA()1y%nh|r ztCW1X1?|SaP+t4%-hCQb`K7if8+*X12`f1HaH6p$n^j)37GM5wr1A7;^YD38=sB%$ zrdf!7G(je=k`}FH5tL*Wepz47nYR@(^X>5W6qmanSkCmVoRT#!jPDwvQ#SQ>mRr|) zJ4dmN?yL(IX;!~t_*Th-3~I7;w^qJ85R0o9T2(*bxmoO4!ph)ET(D_4CiuaJOs0hA zmxK}=V8ybo++S3o)3I>TRtFbOfxa0rlaI_%SoHLf?>XsuyfF~`>3bkyaArlh&p|ojj0du z+Cb)xvdM4v#2@`{%AeIU+qe5Ugb}a+O~XTrxY=l?lyfiM$}t5Z z2WfwnJZLvfY)|r;*frB3H-=-;KS`iYeWZtvUREx@B>nJ`^yd2^m{o$6)z_y@0K*?2 zuFChrvbejY<#m0PWAXi2T_>@#MZV5AYN=6VEGr{??S-0)ymXdinM8A(Lm?dvd$^_S zA9o*R7q)P?AN8*1Qs6$&{!KL9zK$t4Q{-DxG8^jx^oj!VFCrKz<1a90X`uNXYo_qm ztuMO|M<#4io9iK#2ONbLq0gL*l=*ZQ_er3{!AwHyviJh67XP#VP^1_K>1;xy~?vm)S^;VOdbbHXs9 z><8EgE}2a_=0{3LeS5Iw#b}&Y0pTc;N%v6|mPm;)_-DyK)F-=Rpw6lbQ6;Hj7in+J z3q)uUH$_0S0nk2t_c1)nt0pBEL*bq}#RlEHC`6~wjbeF>We{R&sKU*ZoC>i``MA^b&U^AoebM~6g)E(Y1l!92ZMnJm0~{HxZyf*vdEhgfW&;xCk+{1@F_ z(?%(WePaMR+2Esp5Iw0IT$5FOi~LdE;?~s4A@|-rbN-ZG+jWUFM8v394|O8ooj%u@ zc}2GS(OIuIwgjeCWp-_BV~dp52*)e>h9Vg3v}kYE?N07`3-RG+OOSh7lMEe?4Tj}r zuxwKyfxOM&qPVcwnDVa?)#PGU5SC!eX!-NSR+@m4Qa%}k_;cCIG%UeNtIFe3)xRiX z$Ibyu?1;*uDsWfdBY+#4slnByemmR32#>Im(@A!tU{&$LJ)RvRc3r6_R@^Kh zsair%$|-L+Ux1dIHgY1$IVJfO1gB2Cmv8Q~XB-9>Gk&9kl`q#^6J5_2+wTJE(d703){-%41 zIV{K~MG+R8jC}GjUNlZhp|)99UYk5UDA%F&YjV_#)8#%r`{taqOPY?aG}XO z-28bQlU*C_NgJx0Ow1VVwN&!^Sc%R!)*~R%Ogz-r+p{UTyb-Fd<(+7uzB-REM`-B$jEG)%`W5L2 z&uIYI1(TfVR=&{5P=pphH-?u?9?u+41Iv+Af;iS~YkKlVWo@Km|MH$h65&<}{qwGx zg<2Y=0uaz>zzAPHb)MstTq#fGGBn zapE55N*uDRoUA4=4IwsJNi#P@G%lrncC0w3j!kWN6fSk6lww6B&EUit@Nd%rqffH)3dJ!m~YJsMed`_?L{){gVx^k zP{*ic=3zo&RO&HH{eGn1uOiu2BO!^*D+o_f2%Mn1FSl^+yW(rjYleDVsTa=B$`EmN zP8PV)STcU|ZtNsq%BVj5VaQ1~9R9`nT`WI+mmVGArvNgqjLc}wEa<;GA^(SfCg;MCJh%6->tl*NSa>m|ALVq$db}O z^{xg-ne&>M&hM*>hFe#g<2Oy;mF?bg1q5IrZ12);S8e5CGr*Jm6BJT1nRvc=-eJ08@V1qD3LWfk z=wVYJh<|lWlRQ7P4|GwfE+Hu+qu)IC#bk#E8_;s7JT4`zXO1) zm16p4?wMnLy+M=&b)&MD6QY^M|6=ZA1ULq+>=_%yeo6pRZi`|^_`)Xv`7%OX%paWp zR5wkFhI&A-2Xnt|Fn~HPB1A4^;e>#^^DH~=y`)nRoB&**(|iK*66@gc9g5oA>cTXu+)*&sn{t?{+?$AHCMLa5x+zqjj<} z(VYuLTw8$w>Xr40=rupn;0qg2l;SN|?$R6XhZ9`CT% z9RUEb78c0J(H$t=3cqs$DKMIoSr{yOzLK3kYHCdqdGdMPs}|x)wW5xDwYANo0sqw& zNf0YtP}T|Z<)wE?a$Y#4iXDe0 z?80-D1We-<0grJcuzN_z5?HxIH>9VwFDvr{_?e*lPTTSuDi_kkx za`bnxp;vgy6WW<*h9nd*7vS~U?nmDflalNf7Lh4f;wWuadD&pV;()!#rLFH;y7mmw zMeb5Oe9x~^Yi-_s_Yk0vDKYcxe%xe>y!in4vBE^H<@l+kJ+uY#+(+S50EB73ixW5s zXm91}1R9CIf7L3icDer3Pe)oTO|Cly&w5q?zSa~=Jn&B@5&he2H-L8=AS)drCCxGCMq;8G zMoMh*y&ULUeg@_oK_5Y?Sda+S~H01x$fS8K|lJ<8G`;-e1o64Uo_Z+rR}(w zPH!e*SB$H!QmUCLdOm>zo`XhIV&DP52FJD8hkd-mKRr$ZA8@poX^qOXa^YVTvbl@+ zrdl`Op*1OjcX%_xm(AH;)EprQ9b?Y6e!qlyb4?*`lPK$pc_UrxKQ!sUWOi9ZjG zJRM7-n)`i&y|lE899VjD3A{Th>c&Vtsq7)0Iw{71!6Oy0@H~op=xo#q)tQ4x4#)E- z$J~W!nVypgCI~@dClGVP04MB9QihF*=|mXv zg9Xwptk+A?!phUa+GsFIJap$~3}gRucH6RQ>-RcWG9Dt~6r(TgTOKXGs8OgWa9Q(c z58w(P(}CS04dUnN8Jyl$-F1^idk(0#WY?5D*cPoHcZ)oh$LQ&N!g$2#n_FPqOrdn{ zHJh)uza37d@ z3LkMUOr_~MoadwVw|opy2+D0IpF+{jFZ`NUcj%|bTg(F|^3H4VX-M`ap>7`ftuO7! zPd~-P9PpPb&0Y9^YW&ooF!|pH$UIK*r=Kn+S^4RZmdsww>YhB{=jksa{>o53{L@c& vo*%G({a>3=yJh$R4wLc!*Du@HKO|*Kw$ zC__a}$$_{nx8TTuBnZ4$_wRZB{M|n9@gB$Pp@)E?=XGA+`T2alC*rmlgzvD(;a$6S z@fjKFTkP7k2Y9^K=HPzdmHDeKr-5&O1l%yXdl2}DJm?Y!JQof$xF2Zg>lPUD(BE~J zyN|E8>!ko^e^*zZfJeT8D|-pLyLO%1Wu$-oZfM@(SVZ2bnfw*DLrme{H{Qg6Zl?Go z-Fj1(O0-LSbZ~i*KZ$=)y}f(d#SP_>r(DJa zL20H3_W9pY5fDtji3pYoodNNkx%1a@5I3uRecRK*k-8peRpwBJVmgB3--mlr-dFvq zbHHK=7WTGvb_aItN^v6Ib_CY`&R!L`zCR1^0FMQd_5eS4baL0O82vZEb7_%%z`}bj z0uK>4ckkL|`2XQoZ(2os_P1@PyscKImtX$Q@SGRV;;Sd4Xu^8%~|9uPPC{^h%)I(A$%nO$2D`4e7 z>h4{i=(~Tv@85QM1D_?X8IgmfZX6p6+O_Lv_?MkFgW{%Lu1%P{?2ZbJM~5`rb*Gg` zQsYPL>!uU4F-`sbs5-ju{^;HqSnfUW5Jke{=NlFGT-H=gl=n~S?Po6sF%G^)i-P{* zL0%c_=qjg{@fRuUE_K|&h_~H>lADc|Yc?9#b$4s|gh6*LeSWGVk)7KYlreG-A3p8G z^ZoYe(+R%&m&>k1$2IzGO$zN`O}B!)XU=y-HDqjrPEX^e?BJWzvKWUxcHD%l@rK!w z?`GX}*@6;DOT+gu!@jutq>M^icR_Hlr+3-VnxUsyBc|uUWG0`WSzyrA9~F&U{R-qn zlt){VUxMpxhs8Ih8e=sbl*Wkadb~S1xqqn<9u;xpQ-nK}Lu<@GMfRm%iXh_S>%>K8 zSkci@FTm~-W|k`_swQOAZ9J%)4%UcWV-OZRmsYI~^B${_hhH+bLpG9+tTeSyMmCp% z8I}>8t(ql`kuKdsyHWe62DGmkKPxKSk83AneHbU}EOz;>oNFji+oawN^ z_>0pNHRQvrx<+XDqhfiaiDLleEmNS8@GFf`3qR4jk7U_slV!FU?so8Zqbu|;oYIlw z$A4XODNBKgDm6=7DWB#=;0fB_Y4d|FUU${_k!NkT3;*47dq2iQqSh9V<5_Iv_9)L_ zQUAK}qJ-GfyL&J)E_;fSVz|V9_08geTl#0|QK21gP0zsqMvdK=uz&R7$KKQs z`%8{kMEEc}Elzou>RLlc5r^kx#J!Bi>-yLWmsQe}QLn=J+ONSSPUXJpxg`kCyXI4f zHdmViQ|!ohEl1!2xb~DsZxOl$%FBO1&4r_%3@u~b%>R*)?t;CC%<$}`_W{&YKsl zDtn2t-e3I}`QO;Xzw$%2ScZ3yn^p0*K~5PjOe)v@M&3&nQR<~Y5>=}PeV8?O+Vwca z;)Ynko{pGk$jw(KUgc&)5CvRHl=|(I{Qo^Bma0#sx=J7`(*z`ja9tEFhv7NqaYVig z;yQPLxUFpR+QcMAwFeThm{SmQC}lTRL&z(&g4fI2k4hyF&^21k|nPD zi5P*NTUH5%bFT7DIZYW(nDfS!{#JS}3opk|bN1iVDqOpMrT+)Sy_{bbHx0=r9xtDoAZE7)_3|Fwz! z<*v;L6xVwus6|b>F#Uoh^45fI2T?(gSA2grR_B_!@P_Z{Rl{Aot{naL-=mSIvUu2L zkz%n=v`d>M_qd#cz=@hNX^?}ovnD7-mO&E35s@2@&7zN&cL%n{C0 zV3x%>Q^E>Mmi8^a8Hm?$cd@%NuZ^RkT5dNwT01yrM5NC&l70%C6G4z)yvsFdmo7}E z?%MU>>fir8JyA@w{nze0qI5hEXsWg>=%So(vDPhXCmS`tkckHl=FI3?LWTlWLbOJH za=WTJ+F`Y`VKTwG+fiR%B|+iN;2`H|VZlH5`pUmVY!S77p`Q^WglQGYw*z5Z#rK+b z_<#iYGhv5}`7|DqF=FxxcL~*F-@g7;BjN1|?`bEunS!dF5=|Gn-G`SfN#p#CSFHUV zb<5UlZeagiHEz&30AIZ} znI07|(y+my;Ll5vEr|p|`u}A~_vBx$O5|UQdWlAj*7xxYGR|1UcnE^(@io2>mJO~( zS~^y`JIsAO(+&;<(9|PyQ=Ve6A+yi#Zk^NnZDZmBROIqQcivb*w&1vOgn4 zf>nC|+yi^#4_Y2W?)EycUR%3HAA0ddK&x5PDYit#MjEC-J-7i~vpAMo9q~=mxiON| zb`B{Dubl9iO1-yOkxxC@v1fHB19}VGr69bu~qyrCf% zhn09!=3G-o5a-3^5XKu&M%c(3LZa54>A=tX=HOo`$n4N*v0Mp5@VOF?7t{ha1QWIW ze9n&ZMkdNW>{5`9r~MB-%kEoa+`ckoF-j)*$y?Xte4okG4ET6_Xk%JiqVZUCn=xBX z;;iI%uE|x_8R!c5S2_APlUcGB%NVSF1h+ixysg?v{G+o$vdskf$F5ypcK=Jdot;W8Nk&c6$W5F*P(>Se z5Z4uP3c)E!V)nJ`GBHD#rsd_8wtR5!ZPP?M&tj2k->+9FS4v2h=l4unZ0dTcV#mzF zza}I-8g9=>cz?CaAMgh2k;+cO_^C0b5FV3sYdCb;8_88()=wq(J~-f zN&M#u0WWBa&hOk>+LWCF;aS_I?scsCf)FK;tpUiu!fFerr#X8iao3Q8D_{vYE~nkPFoP<(7o+5#rrxsXWSd9l;Gi_{427qp&7ctv-S4y;%xP>FXWXo+cvvb zTfoDzK7pTIy=OZaw&&#J@A1{P!O6Ztg0n90`fq6pM12PJ?UN zHwMFMh9=I63LuY?G6xZctmTy&K+ww22MSM@3 zg8#>+S(YJ@6_dF0b5PWqJ|wuE65KpJ7qt=M^q1kRh%UVQgW>W4);UfcGZ35bo%=;8{to}Hp`unmizT2{)eiGw>A zGH%+vCP+IV*tIMY4Mo$B3TOs-54`{{&{noP%o9g@YoxrHCLIY-R;Ne4(Bqg=DXFmQ zuo;H_yY<1%z;oZVQ&;RO=Lap!==Ydp59xX0@Yf?XvAtYf5_yto{`?55nk zQUAT@{RflrxV=hxFNd%}cY47qNk1}N9r!IVH3`~NNDmYvsil75cAuK4FwI!NkEx?IrOlP_>Q>EFcOksP)2O^edc1)W4^^U z@*NFxD!uP!k3}fBA?{unxP_cn%c7z;jY#tN;%=2Ij@t&)VZ@4uC0A__hMuwP598R_ zaKUK!!-%oRoAVfVyGD-^>|FlLo0swu^%D}e8+TXQWYqpGAS#qNRx%&@a52Q#t5VU} zOS#kiinc93F)^6VKYYjZ8S;-gMX|xH%$I*;E22y?a&7* z8yxh!q#qs7x+As|rR^U#_$V#s^8F2bu%~MeK72c{1C7a>qUmcZ|2daVb*+v6ED^Dq ztzvJ_LWf~-a}wpN)R`J$twx1}dz#pBCfwEftl z<=182m4i{qi+2b0i8w`_$*d7P+-XcmQY!z`iT_LORtoZKF%wVFA^ctV(g2d>Wp@jc z>uQmGwVHlNB0aC~`XvEs5!6Vv;J(jsp{o$1 z_;K^YRhAdLpD90sbG^qXuyKeV7U%@n6QK==s{^@l&U)cQKcD*O>Ih~|P)*!i8wRFJ z!1dg!_o-CqJLyc8sE_`Ps+xy8fkVRJW-mU^a7GJL-2!YpE2_ma4dxz23ObHJU&$LLq#-D z$6!?NLZ^;|8w$2l0Qe0+WW={kpK?8vk|@h6^#L!4ZENbi1Ki8&Rp;UC3|Xg$;57#y z{;u7Y=&LEiGRpT{WPVv2w3((+A52xbg->5eFm`oyP6>WFU2HE?Kin$&B1u8er$Rs4 zdFGVC_35CDcP`TZaU)zpHnlhHKGR!Ps|}^{ zsJ@3m)Ub!&aejl&7PsXel;B51sacP5whptD#oXHg_ra`T(s~-p)Q9mu`dTRglrNT_iIsp<}f*_gjhP7 zIb0u(w`&YXU^5lp4PTgc>-Nwc#%~|sR^3&Jbt#D%o_n7&99pYvN;{NzksLCUB(e1hO=$cfgBgEmS-JSX-M3wLw$Z2h zp0Kxs*}9r6SaN>TJJ8xGoi2so!;c&Ur*CvS9*$Elr7MkeI;#7XD)`N`FaNCkoI(PS4KsGgDR$`*qD!lSod}I%fljkDw?UpajYd z*0m2SJMs2ZX)f{#FD&}eIn-OZA3IsVel?x)$DrcgdiQ#?D99u@$N}icU?cX88_jM6 zPC>F=w}kY$=6>ZV4#sC9)VCiOceaOmO%Y^Gp<(gua$9u#cKmJqO z+~8tYgwj+l5Th1`Bpx%GBZldPe-J-C*(}|+IUY~<%9)hlnhg@arwbM9qanCN}u6 z)6$P8Z=X%l>1~s8y!Pu>KhA$lWJkIIK-hcd)s#rF;Sxx@w7#wSkY7fQqB1OeU3(k9 z7Jj9y)86H1d#ltO_fM0$qlnFj@6T2^7-Wq(FqtR|*l|ly*ye4zE-Nb#~!>t)*NvfKiv8=!=bi+C7@=awp2r6}O+O|3$_8G7Ev7>1!sq_tSlNbL+z}0{5=N?8R#z{Y zqQtE$pTo55;Ly$>OjD7)iO*f9l2%~^BDLmSki7Yv<%lRK>rhIP+nyrCbx9m`@R3IBqw2exL+S40)!B7^*6l}gGvS(A)jy2s|Y{b=_c>IG8c!&8YyjrQn zDp!kNw?jYA8P-hqowQ;4Ye5*>h_>kJ<2GbI4ZHW~iwhFnSF;aY~!5QZ-d2Qvm-D+SnkF&QSh0s`<2t7m}o;3+_%Y ziInH`hJ*k(hTH0AQR1hn`))g9&uhL7_<$a%U(deD&Xj2Ar-W#4#-KF_KkZn%aVu|X zc`H{}`}>y&QQY?UHaElvq-HZj(!2~n98AymIqoeiFp{^Z5r4>C=ccf_+l6=B9-ybi z160=BxY`J%5hPGo%uH>@&NFjzd#4h_tS4{IiW!=m-2VKvqb>d(*=&Tp9$nW#@t<@e zZCNF{Kg-H_M{NBHfLI(*wL8|)06`=+WGsMY-HXp%wsUrhI*+}8!_+}%ho3m%&hJ?^ zmlPiYAh_#yzHAt}D95@xy&X%vL%xq-qr~;Pr2AaDHzLwUY*Hsi+Xvqhpx6EE0(3G9 z9yVouRU54f(;MCA8j9p@hza4ZDJfaxgZ%}HhutT$rtG{+AUU2Odhp#!Uee{Sqsr0LyQ?BzNBtM}bzzp(0dQFlgb-F25fXbLc&lvoxM&7D zXW&X!(&kX8%UpUs#>`~1vpgegBGciKbGCWiwaq%YoTTsFS<@?4%PM+bTND4S$eI>|_OtQSR(6WB`Nzc%|qvTB1e z*b>co>*f=(pqsO59xC6(%`}vL$uO4#8M{T(6C1_rzLe-W3!BAGu4VNahH zD;Apz^1Zwua9UL8XeidVX$1t;(EeWXld-&zWGnAt|B$jEvjx_^`pZ>CiT_)-o67l$ zF%!~P0aQX$L0&ba?HnbnztV(f!rdbLLL>)#W$HnFp)AI9AeOrgiS`{BTc(b!J)U_A z^n~ZB5^QnV-E8pB4_o5L5Rx^BiypBnR$5B_{Z8vI_RRgcz7y0Ba7Cwou!5Q#BAbw3 zsxU`Lx0=k<%zmbID7VbrR#{~Kx)~L1`{5_*z#+x=4ii2q% z631eTXdMgWhm#S#X7yrVO*o3EDTO^h9TmScXR6spv1~QpD7l+T8f-_o@zRpZLKq34 z{af`s+Y4F8g)Ov$ujOJe-2O-hIxJfD^>YqJ*YPS$tK%Bbn;E5_I>ZW z8I;5@?%J9w!oo0pDv<3Cwzxa33QRd^k<1^$^R(5~;RF#*$nY9p z=hQ%a;?rQA9|Rf2YfIiyV+Zzt?zcK1osv2ILjXRJ8#X~;{v;Q63;Of5CF*ui+HzQ{ zLIv1{d$I0DZ+jAm|A)Tiy;`)~P1dtd65YHfXmAvry6xzaO>-+jWyg)>?U!KGk%+QS zLFS-=Td1F>1^8%Q3xSN$t{RWCJuLl35s<>_+!c?akias5!jt-gLvj8ilN6s1b z*>S!H*vg?)!Y$_G`^%)dxSW4T485d(0lL%rq|)isF&cmhmj}Va6Qwhf202}^nEr4x zy-(Ba4k}^g5TevREv0e*=2F{?^q#p#Sngu-NEErrT0B%=XWa&>e-;Y;)whsTFWWNt5R zZtOjrMG9xF%G9xH6ty#Xd(`50be{i7tpnS|0bSfCJKO@dV~(usZDD9qpxtF&V8=!A zh^V!2zbI$3H%JvVvqu|qLmwN~f-;DKpKT&uNUbcTC{aC})6~~Am;>2SC8UK^Xd_(? zI`Xb4spbM%YJ7rp=Du19B)Hn^3d~B8E4N~l@yjW0FwWV5ox8MIKmVTj>(C2ou)Syq z_Z&Cd1Veu_MGc0Be1i?Or;KcFU0fs=gnwB3yqhU^eKDX{iBVb)=2jB))y_YN~Ad5)Mmj;>ZJ^-0l$L}T5 z%K#N$^@YYzRTneW(;fsu*q-z>Zd?zv<3rfWewn4vpO zt?CQZ$ePE7UNVk~ieMQ5$@SiJ1ZUuouRjB5@r1YWnmA*=yS~;hcCtQ^e=46ZB5$jQ zMfPRI=^xXh=0%jDMKwb3;XZAnuWQeqd^bm6ABsY&Yc#bM3ijJUd)Z9 z$3at6&a+;8BOpM7l)-$17_VML(P-Z6khc;i))Fae%tTGz`!3OKiDCFj^@~pV4<%tv zW5*<-^IS)NzPVmrw2`qq8Ma1zZ9*7md2YROeQlW`}pJTN9ZDR{9Mpetff42kgzK-BpZ< zck*SPS<~n5K=7Wp1Qd4(H_MkE)6!Yfvm|$LwjFshNPA9C-}o{OJ;Cnjhu;>H z5mOoOXEfjt3+_0#K^>Q(A}U)|s*Xh+@1ZxnJZ*=vJ(my(JBc$WGe6Xu-J>qOnFEK*;_O(Ku3V4s`f2`DeC>;$S2HEeM!S!}92a zEO<5h>3Yr;VSg_#%;o7k*Hsg@tn!FnrDELyA0DiNv!`MVB7x%Bqp*&nFi!%9O7>pO zbe2lpZRx$FY6vuO!318+P-xLzy|nXsJEA#ONQi@9?ym&>yR)+$GVzI-b`Nm@6_>0Grx&$3Lk+U-Y$aZD3S zC+hw56zIm*RNGPozWIZE!NVZF)QRKQZ!}?@YGg2<39W={WWwfL^c*vk+d@zuF(s~U zU2>~OUf*x8N78j;KYIgr=xddVU{zBM4u#%>o!Sv*V1&A)4AvShN>}DNsa%gwZgN4` z$>Ed=6qM@nBp+Wtj9A}yn~J5SIIGN$P5sVKE`i$RF)(A2>{<y- zMwfZ>?f`OSUU*GJCU2hR?zo|3D2j}Hv!jFs;wSZ9my_sCEoNH@qXc&(64LFFB^YiM{VtAqSSA_#O5;m02@?E&~{e!cq2cO34KwUhDg4o4h0Yb)We82X_hAFA@4BHX%x;53GIW3{IQD?30}3S*v6 zRfkk(&261t*Uk-fF$KTSyHGRd*kaj7y`>HH=J~Zvth|cydKnho!ktlH54(f=Ev_h> ziZdVzJzZXkKYC<(#|seY-yqpkgk~Tu)lIJr@metMvEMzL74Fx6q8KaQr_r7>6~4mD zy5%`1Kko~vsmDjm_0?frhtB*+^kvCDH^AEd5pg`tqd4ZijWk>PYu5Y(7B4i}U4dtw zhdQdQOF}~!#XzI+q`qrC@-@6b;?{^5599G$>$ghw@vlnccS{MyfU@U2-9wgPRjQ2> z6zKRY9iPOUZ945>TkJvRCGT_#-g6dGJ(0x$mgKt09`+RGd{l6G1))gqj3)PCsgVZ(-e99WB|;*tEFn>y}n-mwO4^nx@-RZt_&YX}HM7 z7!g&Sy^}b^VyR8aG|`2n4;J?4ZZPZcL2!nXwED0M9Y8WHVWqFcy=|^_nuk`bl6&k zCu&g<%x-yNm?ZVoh!)sGGIc`8QWB8=mQ}|AO;8*&96ht$>J$PD3~&*BMz;ay~VHwwz-TZhx${V16Y#h7-aA^F~%M1@b#m@jtg|RI0((KC_P%+f8n`X&Ll`ltnz#4w3P~QmrH!>(e>d%E%_>I;Q&mWs8}EV z8gcAc+d}GQgp|^AMuBAU$N16;A>gYX-xqxRa!)ujD8lc1B>wo&!P~6HAYsNyMNW=8dWzCy}BC zUzM*B?gO6DCU%4*$S_mB#N1PlezpyN z9mhAfDJHO$|3JD)u)JZsJnR_dt~mfC*taC^oj!H_DF521TUdtEn}RiwxE(E4WtFrb z2-hJjs6%Tog8ZpJlwB`RU$eSvF^YaG6ldf&(o%F)&8i$c9o{ST$~0|al9t5dfTHK0 zbfn7F-TRaVm=-qTX|`7>=uZ$}qq){$fAJUIW8eSH$cCFvg>=G9ISEc>MNicR+;a&Kgx5Y;<8IKJfw@B}DRX@8ebVgB)u zVD%6dY(5Kyxo#4B_b@lG+v92*C5a(}58uM#iTsnUydzZt!XjbU~$OT%QT#V2+;)JxfgXM(+;d`v_hTkE{2DY!>6by9p>d#(@DR7|h; zn*(YbP2l#+!NhzS^&EkZfo;J1+*2)g=! zr#H(&9vqA=8uXbtC3`f?OrR{-Wn19^3bbzLy}fOUsqPb7!8b#^g)j`Vc@6v+pzJET zT3r$L6fN|DlW1L|6~wy%dN`}5`BA|s) zSg*A)pk+&4${hxRXu<~32dNY7<#Ojxo%qPLT#juq`yl<21e5u`(#3(s?V*cY>f@|` zQ(ZU-9hrYq?vjCA91eAv+)8Ym%ZSS!it}Z5m)MPs!-HnlpPhOC?tU@imGD6PJS9sSvpc5F0mO+@(7>)txyf0Kr7aTkBpB20M?I2EzcsdCo_{XII6c-@@c_L zy_o|cTip8n?9*Q_b}Y*uo^&FBQ&dI?0p`#q~5-i2+KG260gp z*b4VKS}<*w3Q?*oqhi~}G%d%j@$p#mM%DPPRZ@m9w_woFC87qIQU&&$ba@*&nQH7_ z8u~hpB#g;hf_+oVX$A6iEKL1aBlMjzaH z<#ip;vK956=J%!z|69CEvv3Vt`HF)iZb-o($v=7CoQ@xQ3ozO2$<*C*iYNXL4cb41 z^%69)hmu+L%Tt#s_#HF*d+?{NJkm_$M96|Fy}OFu5n6PuuOptVYPzvX5_KEMe@L+mf)uV0g2wtSXgj-u0gE!Kr5!zy|p!cXrCs!@uXV%+OJ3X!to4+>#NzWzbb zKdC;wy_??>$dajb&(G6iKfEnK8*MTQR%rWRT>(`UYXPn;H~E%ytqaq8=s8Q9+lU~h z3nGv;cO?uZg+eQQRe8K_fC^@)*-wt90nB7C&EP#OWkPhvsV_hZSd=ZU>AejMhH&jG>^X1i38<*h}2b64T51GZnGF@t|YJ`~v5y1`lN;&P;>&pH}vr zJ3us&0xMnWTT*SYw95~bs3843r*GCd8W_Z~(bRG7u{;~H zwWMKwH12Br85eE-&uT9%uN?`+NUHU-S7-?$#WnWd9$za`_`bXZ7QG_H`Mqw7J*^!G#e0Qqe z%kXG#c^X(n2Z|$G6aiIykzRdjx96kz|NQOE)2419`FXNKzCU!bX8VbYnMMu5jDl|8qXe29~Lqo^)P z8Rr}UbUKH#yt0Ae2DRUIp2VY=rz>1Kbd_NBr?&EW{x<@5D)S~&|7q3#LTp|b&s4zT zzK0gMf`vqRi=b#$b?sHhMaF#4R7TRhFRO-IcCOhjwvV-Y@t(71q1fdx(o6g!;4p#H zl;7t9P&U~O^QAARl7X3l#v@Ji<5lDUvsFWweml<~8jaM7Ei>Lxdoi2{$%xcmYaK!b zGHSYrq)X~HX;;;k{g;({-WXrYXc5bM9-n035M4)U6Eb$}K4G7BBrDwIlkR!HS|T9Q zlEr}4*Ge`K@ZO3K>6x^sYZIUP13O6MyADruwbX*SF)KL#+^wb#0nN`P?|EX1w4!>&^WFll-mzRLy4qC4$(Qme9_}@w+ItMQrRGh&Uu_Rel(X8#)S&Ru3kKYU|~>%-rW)N@0{9!j%^_ca3Z0^8Fld6dkv zS}ri2^$YxrxG71-V!|lCQdGX$nvgD)JD<{1u@^d3Nrfe!PU(y(>1~&1z(Z+Ap%Y_l z!`CoVG$2hH*HsFtKJ5~E4>bgEujT+QJi(nTs9lHnOt3q4Ly@97trWI{PD*wC>7Qaj z-@jjnA~n|x37l`A@fXiqUg*;0?A5K&DV*jx{b?V#*x!+Na%)9-r%a*xF4V=!;HGuq zo0q%UswP)^D2?!uscy?or#@9-hm~f=62?IpJw!=ZUiqmdhB2o4(i8+i>*0;op!>(} z1il~m>T`9363zaebAy_5Z)K{>)>BhlSnIEEp>71^^@7OJ)zT4_mZz@TYZstMu;&Lf zvr#}8d9=2A?+b?@M(vx{tR+ctVNs1!%;>)t34y=IjcR0?vWWOp7)5R;Q!L$_N>%&2 zhlmdM)O4`=f)CNjx6vRlIGE+ZKwm5DA&M(7dtl27K8^Qzy0ty9u|Ya2&?jZ8U5;5s z024%gQf;}U$?81=*Hlx)A==IQrzyJD&!nwhTyItq-06RN00ad^_2ZyN+HOEeTw@kY*$6hjJ03H72`sMA~edQM==QeJ3+-Zy*0>nimHCyr0VSI47 ziyAfQw3ciCqVrVhiq2ML$6R~+cqV6qR5~ToDt6Z2dve|X`$v?t=4@OKW}Nvx+eTQc zRPFo3t0JVd*Z4iU1t3TH+1ysMlXu%h07ZOzo7vA1$I-Ovh{3JB z%VY;Z)3X}a&Xu2G*PUnsh9a}>Kv+vJjt>|hwwq`YSJI@7x!-pO{dq}$=m6sXkY8Q+ z9%Vf)L`N{aB%0;Jrsl`f9W>0N31}_#G20gT8}}-f03{psuJ@P+AkjI-VKC>k1foX6|^wbLpj#bs4< zLaofpTF~Vt_)g`|3c>bugzWvAA0>k#AT<*WF83IFKFrSqMJo1EZR1hiLO84$T;iN=fz;|U&u00`BU zHY?JP!%wg4Y z5j~joK$u;p&Sp}#j9yCJ;u3(P6`r;K*lzs%f8kPn)-{n9wm40se=hP1=ka)zlZ@q@ z>Z!8NCdkDl!M?fJgh51DSmRrqUsnvrIKfm}xrE;Et4xI>G5mE)#ia6x67wj|=ksNq zb)Bsb^2_9q%UlBIa@lUC$`>12W9{mFyRTM>;! z`*O`uehjMZUd;I~fo*Us>)<4d2g89?r@3u%Z>a~4No zbDBUG<$Y46=6)vrkNSH(J+%s}q{6ActFGL+)Va}VQ_Hovw^8wms^1}5Y`y<}WD^eq zvnNP0*JZK-96Sr_LVU)r{8~RS`bBoi>@lMnUf+jIx-cN(0n*6$YWCs)OGV-IW6cYM zdzzci+sC@rQ$ial+fTR}J6Tun-^Gx7eFKevwFYhL6UJJl0&d0G;nqMExpAqA1nFipcZg%ZI)7+D0C!6g? z7TSQMu2`T&rSKS#Xxr7nXEFDu+{c5P(7e^xL4I>k*uF*HUzXjE8Hxqtc~M?o8_-3S z<#$S$adyXF{qXWU$9#978lGr+!D3r75f1Y6!k0@jdavZ(*qQQw8aizTH5UW7^IEDQ z@cce~ebJ{4-s3_RHO8raBBG{?j~3VQ(qD+80liI3Wu8 zDo+zE_c2Smp||O)j-vrl2#~{x?Tb_h6|t!S*cV_`0{0~bsdau zCsFFDWU8>Fw-AbJ21P|}=h|J8NB_&S-JS^Q?ieQ^{X^-l}AdeV9_tOwbeSDn8AaVq*v9F zE^#41v$w*#89-i&xby6So4u&*(%>s|{d?Ac{eJKso^kZu2oT?LP-J~~#Y6=%D=>Tn;7BK8#EN*UaG*uY&0e7dWdR?z)?1i$y;+D2-+!8f12?o({FK~JSoiTVFo`R zlnJkNb=z?#mduf(b0ug~G4QI|=2xiG%js>B(Mw?kn~@(htz5!1%~)#HTKmf1d;@Y!l;!SbL>@%78Uoj_g0Mqj$B-Nd!> z&V6>%i_y9JeKG}$w3Z6Y;~@I5t$m{hQ>6|YQJlTMZoUCoAKp%mny0myXe%q0NJPIx z6GE5mpwSG#Abty$>aZ~Qtak-)K0#CqY%v>qJkLKCy%PB~a{m$TXgeZxpQu_hkGU-W z;<%Of*h?`R&9BARTX$rO--C55jE)j>Q255B?Jx{iM&&PWx`V{)S59xPyNzv`>Zus@ z-u#u*RRj@zE1#2eY`8R5-9}iaF(7U*;_8>tk>~p+$g>M80af|Eb&u?E^x;GA`b-rn zg7V{NuD=ooofZGryfe4AH%a>@F+V12n))au5^&Xq1 zmBA;qk(j++MJo8CN@Va zhq51<7{gP%#KDa69xYp7+2kbPH-@N=LLr z=u)WBgs^EoMN%KMvx>w&FZTx$F!J#pmu-EEpwTRzT4GDR?*lQDMmK#=d$!w3{2kCg zOk%|2=H7~%(-tP`;dM75(wP6Z{n+SLHZibCF|B4nNQd1PbYrNK~Q#VTi|n2n+-tho^%RJY~XkcxBK{Q(eZqTj^~SwsD0+vFV5$7 zl{?}snl`e>5>Xg4@Y>y-dn>42E%}FWk%roE1*wX9rD|nuwDMJ98{R(M%TmH$KpH}C zvrS!2#@o$)b+{VT1Gl5&sVLo@EkK$5>wqsBaQIkIutb+^`VQMGa>-$#aCi$}*Fitd z3pqz?>?B1DiCuXq_d;>&NC#^x=VZ%2!E(7f|+qUJ;+6jwCOv zR7LfIv&9BvJ!Biztmg{Z1GA9WDIh!Bgdb~wVC!VbMwYBbKV)LS{w3nUaix0< z(*;8~sRdc!aSec=olrW*hib*Dp&?|SUP8`}Nn1#Wp?TL|^Ww}f*w&jpRp(4~384p0 z)DtB6bvk5Onn~tMIPSYe{|K7DFiB03FD}k?5{-glA64jz5p2q>-5=Ir@n)$FuFlij z{yCtj*q%xz8s-7Mm1cHCB>V~ z-}OQyn*7g)pJ`Aiu&x@ixc!e0{mkEk8x9=1JsP<1Nn%uv@*8Cn|mUyoj`N%540-r8$FeMKaJal?OQDHn9< z+D+)l*f+3^V!r`-QkDovf^b$hY6uKwQvrkdoZSJV-ue^FbQe@{>5ON4y<$Yt7q@%% zkiZjbSE!KwRBmty3lw#eKSdM|GM=0$6EUkP1Wmx@CClG?v^9ri`9t1$d2dq|Fi>GA zk_=#=kidfAFa2O#2cmR257wWvbtHcXUyI$3CA%lIP+rp1R7X$2mb3R1DT# R$cqvd;EVBTK6~k_{{qWT;;#Sz literal 0 HcmV?d00001 diff --git a/readme_images/sr_latch_vd_M2.png b/readme_images/sr_latch_vd_M2.png new file mode 100644 index 0000000000000000000000000000000000000000..293e09537fa221a88d3a46fb5bf8046fdf24e3e5 GIT binary patch literal 44760 zcmb@ucR*9=wm!U(QD%-PXAYx?v{5N43Me8{gF1=;T5eW%BLVy_3z8%UKM9)3in|4gN0bdFGO*3Czh8 z@wy;Ewn0laqqZk_rKB( z&*hd5_z4K-?!EXXcTi3Q)pq`9JbW?yPwQ9l(j#q2zy%i6qw0RFAp84x2$3Qk-vLC)J@v$xJ_hP zTESwGwKCOh^|@eQ%I&>b^@cv)3cg)p9vxkh(QMRt{1UtRV+N%iA^ZNYL{qV{U6KW6 z?p40#bhvI6k1b5 zae;f0^v6a{BP88}za6xk|8pyKz|JPwx!{CaS4)nhcSu2{KbsYhhALLMC@9Bvu4G{f-dqp6b6 zkTO}RrNkm);eFAeU)L1Zwsk>JJTV&csiAsSg-krMwdG~OL0wV~W`3rcs$zuwxlF)L zd+x=k8u^V3!ID!hr08+*LGec~WCG$clXxhoi6Ib%yqH4Im2(;`!M1Q-5Dn%@?w-GD~khD@E>AOi_*fMVrtJS1mK00jrETD{3d%g zDCx2Q!byPq2$w}{%3@u_v%>m_rTbicKU`l5Z<%eyHR~G%`e6f?q((dK>>?>MNzI{0 z843NhZpZc=fVyc49pC9nt5i|Oa6N!~#Zg)skK>2bImEj;)9}HH@i+(%0`RNDs%Q(ux6>$Y^zRJcdTk3Qj_Du z_^7$CS+UI|b|EW0TEcFL;M+WEG1^E|)!D7C3|mTs;Pk`uWD1fiSn`wb>2g_*S85_8 zQMtqC3(7PItPe=6JZIjTu|sKF%Iv)WCVx@et+Mp8>B?&QD^;ShwR7&?E4#_co=$k? zGw@B4m-VfxN=6xC#lz1##OWr^#8O?M=>vYQq2x)5O|qR0wSHHjz{*YmKqwat?@Za0 zMGB*gQdOh#H78%s8$3$|_ff%|D}i4<40Y3W_o2DL={pDp@3;I`=0F{^NJcxjLOa_o zqnSfCixAACX=v}@rMX#J?TJ=bnXTw0RQ0E*JgT#*k(1G$j#iK1G&iV~C}vozVrou> zP9r_hq{caux%<=8lH)L2JxBr^Yi-WcXI@+3q^(%oGk*xIi`+YkcFM1FNgyjPjWTCP zVNkkV?C3jO^#RU88lh#;995qZWmof2kvd^Aalr9p%KXEUVq%LF$yUO3ZF3*^7Q*qx z`*2mXG&+GZdAk4UM+y2=)EPq=edHpU+9u<_q^*LSzt0@E<0$DWaEyHCMz-UyRkg`t zwX>A|#kc0ag=ihfLaRS5x9+&)&V1EZ97uWGvCXcf;hBhI|0~qImV&&wc=A9yA~XJF zQtjMB!D#9JgLu<@CYoFplwasFE6C{vq%fGt!wtp`PCfSv-v=oj2fLd++FKO#=m-OCFzCVN=0ucP<gq z-Wdq&GhuC4uld_^e=1A1etvb{-YsY;taZf5=4tu1z3G_ae3VVsNUfa)s$F5 zAUQ+r#!Z}lK?kEaaE|RR7ebD6Slp>719jUgEG!h{V`mpgDGY1rtQmUJS*d7Uu3{3S zDKB!_$7Y5RB1CS1O}~3Tl^wG$R+9b!m#3wG^L_c3V2l=op@Cj9bx+YeC~xZtRj8UO zw()L$P;#Z2pOO;el58Zl75DjW_1wdn%a0Sv$pZ^^ZjeQxHL&`1cblDH+dJjfv!4>X0f8dT9 z)eIBm%$h_Kx6&lzYjbSx!^c|J%@Y68W8dV6|Xga!TzmeVN8k^aO zN#(ge>L} z`&ZJ%xevc&I?XK2`_C2P0_3YAiRj*|Lx>;+%#zdubo!I#_<_*wqN*cRiREMy3+83p zg($MKWx>@?QL)5iv`;e|Qdy>f7z*l3x#eDvEK2a===OGDKId1@$rv?Z71=?(c+ChC za~c7&U!xH*b69$Jo2a6Xp=4h3P`6LvQRqy_HfF@9Oye z`LvMt5!dOa@?NH&?PppRsg2{K7gh*sy&-lyJ)iv`z#bNv(JaMuV9)l}oVnQko(dzp zkLaD-chR+x)GwmY`nit~FAv*gD^Mw-Yn9&S{f{|^Zdn~huS~!qwQKhnWRLD zXgLyu$66h*OBI@&8QiE*?@&T>U|4UsmRxMjY^NsURuy%~h+~Oozf>gSbzR?hW|QHV z&7sv($;cFfy1$ESM)WKta4)QaSwIh|k{Umq{jm6u2s+3eE4CjBH|fQfYFmVtZ4Y>e z@0d}K!Ly9ZLlYUkUh{2D|>56Q5y3fj7M7U8_Ctq=&fx z*Q;l%{2ShBy?$Loz>2VE-b0s)r}{CmGz|04`DiT)=c6tpCQ&N;r-5iO4&cF=kB z6Dr*oR>*21kaXMAIX~gBxRlZ?Arp94?A%@04C6qTssDA`rQY(=KExh)*Zj!vJ? zu-(46t0ry8ODWkc>mO?XO|_aJvnG(OMp9;|D8b}v=Iz|9T48h|;d4zIoN-m&8Z#GB zO;#??hM36@jc#U|uAa;u8L=qa;Qm>CP_vU;Mrsv^c$k#&o z5a=wiOJl&q0Ra(5Tgu!M7&9_xg9VwG$`7$>bwH75k z+i#?eG&9VJO;?GqF0xHj45wUvHa=3pbde%(_(il7DHSs`>cf}PRWK~NW@-n$t{~j_ z(y!NQakPDub~_*?%N9^ z1tOU(n!jHo^hy;RQ$?y!*co=MI|#e|o3aE)U+f?g`^nmJiaywWwOh9cDOaADD<@*u zWM3AHd8YOjq4utpjBXM=kzQA27jLq2ilNqiozvhCAD?9JC5X3n@6=YMEQOSloVS}2 zyZf3lENtUjQ{G|_uo3!zRPFv)W>0ttp-N~WU?OX@55gX1zH-P~N{Q?pbEdw>`JLAG zPlaCzTW}n%vtOM5oX{3_e^&EEk6W(8e3*CNOV7gOgZZn@9qg)BddmxnGJhU09zK`A zVHWu=$SC6IM*gQHYhEah>?Jhx)2bIS0dhq(#0)js!$|nNvGV(w7czGAwuEX*ec$Nw**pF2 zaL(Qg-J|rO03w2;6wbKQN-YVGZ!19iTChJ%=EJH5y$W4TMjf1W%`6It`e}INmrg2z%hCCLRdmB}H)?>86 ztHQP0MzM0xw9l)`!R*p%#-wAE(D0&i+$AgkLWs{3O5~?b2gF$wM0M*yFJ4ry5slkp za=C9h%z1n`yt=PM?XZ-R@rb>@i-^@Fkf>o(f z_dU#8%OQb-26A#IQk|YtcDJ9?>)ajgq@eUZvywa@k(jr}pPr{cOGys>U3f=3^6sgj z=OwG9!!mH9-?HBh)!Uno8C|l`z`JtPm5>H1UDb1+Hza3d8Bl>LG?+V+cp|-MnYyS?`%R~6_8;d$xDrmC?lT?jSq|E#pm%u{Ft}(&5Gt(cj zrnA>%*OC{{pUxP53P!Q5w6?m+90<&bHKYi{aR%Nb=X~rqU%0BhvF!~#U(9R1JM^Bf z$|LFV)>pS*ipPNM1r6B-hXi4zstu3v8eh3^`72J*oRdb!M-O0 zR*hbnc+2Zku+v$&hNA=HH-NSiv#V+xbLZV!Ca+4*7_P~ylX1Y~Zzvozh!9@;z}RI0 z?8oG$LF!LGD9)B{zIr_TMth7?7VQTm;ej`*w^rSFZ2MnHAA-J#R6Zt=_r`y%lO_@b zPyBS%S!EQev>`42CnaR*1K3B&mDS%$=%sahI`_9M*@t*B0BU>2A`ClWg2T43QKiqF zScm(_1Ghr4!M^7Qmz5HXKi*UFhj(K>*b}Ndd4c$YP`0zrHK?KZerR5F+PoS+No!Sp zzxSrNe+)>JIFb4Qf%+SN`;n;?=p;+%lc=jojf%Dj88T2=kH+Wz9*B}I|4nfQtAfry z&q>))s^Qe$R>Zgc9p0=?>xMp$N23p)Ypuk+BuPo2ikHxt}9%=6=c3GDqRI7 zuUgn@mR7(o#UB^`T5I{vv2D{SKlzxywa$b~wDs&h@#9}fV`^m=FUre6p=y6R0Mv*V zE3(x*9#ZDR{ll--v+z!Z6~Dk0UdjO>KE^sDl*3EJK9lxgd~LdGdcNn4`$1EF>~L4b zc9QEh@wo4)dL4dwJ(RbDxQ?Ee_gTOe6gu4U1SK8WulZcXIw2V^tdsTc`^$TLE2b%& z`j5=Y?Vx4GRXml?7|zEWP3mb?Y+8;^#ZL7qmKp;S^M!!=>GR8N%3%D5c)(1l$Lrn9 zyYoel^F(2-d0Z1=XnqOm=JmM4_r>Mw%vw(+%(XAr+%Y}}8_N}@KJ`XX^Z*e?wX zLAol)gAX8J#900W{GpS_@baiNp!*8(f8v_VH4ieCSyR_F~Q-tnQ=Tkhqu9P-TZIgwvn|Fn{RC z&&!gLgnW_NwK+3g-i?_hPC*xWI^zkqyuJwkT~_C{53g4&@35k0eP5l+c4JXFSDyH+ z#N(ke?oxh6Rg55Y9ABQ)>0i`3tXpoHD`I}l0}Z;nIbmDh)#+<_T~(XL9)A0ws$cK% z^3{5GFnaUXc0STO(<2wER~%_(Z@ExS)=(fMnH<~`z6T@<)0@_7dj$N~z3GsQoE2?%=&rjpJQz+jTbjMC!J0-kK@9 zmZt+iG&e&B}Nck!Cuh;s^D~&05FzSr1I!+r*!WZJ4oB+npiYxw=FfjUQbn&*kl3BjGPJjvV zx9|hwMLi{{=BUnCzw!AXAXjfyU9PUN^|9{-DqosDbDjA7bs8~dq${*8uSq+-uWsKr zR!LB=;%;>MS0w0D~m6I*2 z7LY2k&bhd;w)coo4=?DeReB}?&7@V}^+<;Z&C7nzJJ%_gH{t$n($k|+!xtyP0=tt@ zXTaEA;(Oig`7ti7x$g~IPKR_IM9wczti2_*%24ZSiuNC=_Zna^Z#G&Ft3~mNm1ycU3SE-a}lYcHry$ z`v@dDtz@aYq@)-lT~J_&Y+b1Ew&^+=d`Ff?GA%t&GE=Sk)A}ACLBR^dGn_^1_WVnC z6*9z6xAD@0UzXs4w$`id^c}UJ+0H|Up+_S$o)1Md(ASrSqnVywt?ayp`lz zifsaxD%4=9((MM8{#{EpTA41Qbti>+ULl#$bl*2O9b=CB!wRZrH<8iB;2S&sasL-+ zB(C!TE=y0wg)9}{v{wqrkdEh;$zyMSyt#j!&i>bkOo-tVKX&Vv>Jor{#hlXqUZf zg6XD6+S{Glv#dAphp`Jc7j$XVJQg=1qS)Svk`}ITc&}Aa)jzJq=PA7IDc(4?Ar(5PP$1;gnn@zE1m4Ad zFE!;>0d@KN8%doz$j-}TQsFUDX`_pyVfINxF#=s$U1N<$ryHMiz|DvAA;Ofiqy zF9l_x38L?7lt&6ZgHQkZ)iyv=uN8=%hu7)x{M}Y?U|?kyfY-p^rOxjHm|HJq7Ok%r zNiW6uKh&*y@|d`BYnB13#2}9FEz11zWeF}%qK&8uc^OUQoU8??$R8mY9k zs&!4eub6f76~$%g+LF9|5p%{-Lg1^N+1%$epVNXJZb(c$* zniQ}o^V@m^+amrmf;Yb5Kep$TZhWIX7bj?MzM?OkqJ)ExgNOm&E;@_bJ{ACj;N|>p zgZgb=W=nWWvNzr{2m-c!>t6Ck)l#rRo`0F9zuaHnt`9vLFCjeA(etzY^Y1M5Kl$LZ z3ePumOBG{O@43Rk7YY`kuIINX<*k4?)uK6kla4M3hrHFCHlr(%Z|vt4v%bwE>hh(+ zc?qikOl!1$8Nawbg;3#dJG$aCV`g({z*}fTPsf`C(d1pN*Rsm_-kR_(Cdcc@-v#n_ zX?odjYd1DF>H_2zm+fDp7xW9D4raJRd4kRhb6bmD!8UG0e*aB_-mvHDHS)o9gB85_ zMKkvUo?m6S>i`}lumicoBB79eYbkE99#}e`7CKYeZ69V=eN0~;JkSoi zK_kvAH!KNjh(Jkg4mSKBsBYsiq{|l^*w?zn3Pgi%3A+gTxcvG;%`}2+M zG+`yGBx8=`&z6eh_Up#c zxHn}}FD82fM&M|0oNp|${{{W$zy4}@l{BAkLBv#l9!#oW-V&A6J4<2F0vPgrqYf4U z$NE_B!%7*>?5Dyh$@=*Ddp7f>x)~Vh$nsi9kh&GC)z}heYq}%`^jI9R2 z(>eWBoc&dlV%vENE=5auI{l~2c%8ik|GahQr&NP~9?-hLJc-I=UNif{CdvyNt;bh{ z-}ezV+jxO_`{qsoA>GaMw&6E$21R%EPv@HpZ4o-?qjT*+UC#d0w^7d{Wu@ceuO9U$ zKsryQ$4f_^FNXLd?TRp!N8{(?wQznZIOhUqEws$Ge=Y|{@W<}Fn(-c3Yhn?nA^%z+ zU8qsP->;VCXw*ugR3F96|Aof-Ya?F$aM3azNfid~v+Zm?Gun!*zG5JU^ZQ+n0FF6% z*DeZB#VE)zJ0bPZf_4&;7D;cG@-b9^%!(N~r$>@8A6!J`WkiwZNtxQ{G-pOv%6ZEa zgQ6vzGh6hbwl*$sI&toSMhZ&bN{%V+AP=XTpd%@P6XnE&0vJvRGl7`vsF$sbJ*yfL zX6SrSX1tx$dS`AcOAR204{WVVJz<7ZPt>?axupvB{S)f71OQi4_V|Zk{D>8_h+)hQ zqG?cT{Vj;`eYUaAg`x&f#Sq9RH{aPjSTkA5*6A4A01}O;nU}PHEp9;Y35& zjS-p)RfV_*s)gs}p)@6v`y$^PvsR)Lt8tS{sIikn_ZZqkQ78M zi!t}vyi?;dnI2E(__#x!n#$crH3qy_9F5_8ew8%tY#ei=iB>aw*tgv*uaoi7dG4`L z&@psi_SJ zQB>5?grdN?%2Mih#*q4Q(!+(_wr&MzXJWH1p7U7T@3T-Ag{d=>Ku^iHG)G0qUG2*3 zaS5EO^^Ekak`ojyJ6l^F@8Yfi7vea>*bn-VV-XltG>(aZwS_AB_}hN^5K1qzW3dJ+ z##O1#&Pqhn+6ilLlCwyi%^3^YgM2>8uU7p7WIoo0Ie*;-67wc#i`ra&H7y3mosA$tLv3U<yiudTA)!#x@ti3s^7C-<);{+NZEJM5VWkiW$?hnif2u8k7)RZ2y!vML zNYR;qEpoeCg$oB#oNX540v2{ykk43~r$@VQN6s}=k7EK`OuY0nlbi4Bm$>Ik*Vvi| zjV6WwHaf0Hfjg&gl{XC+EEVU=GT30pAUK1_<^xpJwTY$*%??r;5h(s~87NEv4!4t( z+%4*Y9LIzQ%p>MZVDw66mQ=;j$bFF&bJ4KoD!ZUVHH+7*z`5bu4DRc0Ml&(i6u%!oT#|Zit zcP@w~?HcLfkSFJIvotm3y|2PR9~GnKQ9e`XP1Xt<_qU+Zvv2sPst8b8eJV&J1OFGzn?j~x)nN>5i&_hY&hMEiEC zYH1kx(?Uv|DHU41y|$b`sy|HXnxN*x@bT~2pG(G{*DB;$B+o=tor$Z^K+^7~(ZdWz z9xwzYk9hm0eEzK1XwuL}vgFK=sSS-tub|OB_qNLd`2{xrstN4(!l6k;`lvR?BCk|{ zl_txtw0}N-E7=siaLUelf&#kwCA}Fbz&K-3q_QU8CHf(R{>FEt%9c5X>ClK#9Aw-L zLEFk(E^aGoLi$c_qc@BecnUEu<7cJtDM-JMi`DZPeMFbMvn5N3>>EK;MC>R5Gi5=w zaMmI!gJAGCNKryb*PKUwdd}<*97?Y_m}e1P!m^Yq@Q2~40!UQs<=IzU^uz_ig8Zlr z%=7-1lI6c!2aUxB9mLtu;xy?KQtgSll5Jt=7g{D*P5lq&v}tersTDyXd^%hQ3Hg?T zxOV%sC*osqQuH}#Lm2z<-~t}gj@Om-tK=kMIImxhSU#r#QR(H1^mt~oNF`M7tRhCCXlX`{l#k9zesL7{e(qfe1``{$(ks`BTNbWRsjWdvyBjkia6*2y!er4~>B8xnxnh<(xIq*fz@_%oMDmn;pX+ zo+~@*`$mPHB40ZP3nk9UW8@X9If`@ERocJ1&YsNbkRu+j^Y5rfK8uY%9U`UTjgpLuU z=Aw!(-V28<4iQMJ?4psV48!AQZ$3spd_GW-ZH79EdcN5b2uzpdH%`3k7n!0GV7Q>M zzyRlsV^A7ffbMKo6S7pn_hO1a%ZSv7;lx`GDL^axIkyQduv$i~;tjV638wub6IV~~ zZRe80^`!_xI}9?u81T6kRfemS#c`GvXAc{J6orQ{&V>byOJOvvIpG{FoUJ7)>b#~) zeJs0i-(*T`AM@43pa!A!HilK?+d7SgA_yAP30M$0E$uSa=$_KYL|&(ODtBbK!0wV7&>XOP(T^+m(a*O`lNg$j`gN`I<}~Jz`Ge4L_>^9 zK^AHBDYm&Jt=su({fL4#`?W1h8%-&zNtm*~bMW>Aj0P ze5b3B5CZ3OZ*%QP1gtHPgOi_Q+Hs7-4$oV}Xeq*iD3jD1Sz;c$$BFk6VOV+eTvL}{ z3H_~Dtz6M(7#f$x_Kt5FQPq-jUJ{LJyx!J|9NFeOT4Ih4aIHcd5@PlwQuje_IcOgV z{7WkK%&z9*XS3pjRuyzTyyV%>zogoMT;W<`4C^ephsz1d3Ia!F>MnXT0>Qh>irCNW zutKoUou{(qo!Bx+x&S7^j#EQ(ra*fo+mSO`^!QlzX*?~EfS#;n#anXz?5ViwsjbXd zBuP=&lgwr;q^&^eg{m%Ax3p#^EhNg&i7ho}XGgoIIc#B@OnDKYjjm+1)1$48j(&)e z9=&DR=KNOUQ$+Phk75hw-Q1HYA3TC&DW-sPurQPkk!o^=%s!r~m?jMdFd9n;`d<3i zv_rxT$u}|ez^`D$T(Hbm9k;9}n_^5bFaWSWP~iaRgtnBy=$9x$$PdNh%(jpc@*;v9 zj;E99@9t#zb>DdR@M^sf=RyJttb|rBothSt!oh*bheK$iX{{uBkZzY;f!So|JTBGM z@rL`m(!=i?^*R$<+7Z(&hr7K0*ff7PK~U$$yzIYx!519D=gj;(vkQzH-iV1Vx*aR* z2`*sEf?G7ooZG|0+~K=+LPa!qV9Y>z4!r$RwaL)F!6QJbc0thJBPYPkgBe}p?+VV1 zTE*{@!1 zPYJv?-TU%~V7a1S##M5AXAr`?q~dxgM6 z>>8jC*Gu4MRviw}Ln}0rnq! zcxEjU3=9Q>-M335V@*LthVJnT4%+y76ZPb6{SB?!|Cv^{9{{rb*oAH5!iL&UeYdL`n;h{f)_~03&ox6Bf;7mmrJ`0%DQry z$tN2&;?EpZO=&gHZ^=bT!~6X|-5w-NBhCW`86XFW)!hG$dxZ0VEXfDlX?XPaOqH<; z?#tktnHx<5I8m@M7;J3`x=&T-GF%jq8bERP>gd0>KSBYzsaetqlaF%eJ~ zK_|>O9f{|-C!6ZX%R4Z6$Y%CDt*&^+)cI)m^Mc>3$Y?qKSf@L^9PI0*9e5_hdj znmkiF1)k~>{vtUx^1^8&qDClBW%$%NC&$A&ce~vHtccdVu84i$_O2{%?+DKXMmw+J zd4*Tzy@}Tbr@zdb^bD}J1BS3;k;1Jd)zZxYk_l>$SVKXLKh&k=^Z`kVeu7#1bh(K3 z_|J$AE=srMzgatWBq>ZBROQZAtxdp|F!Gln%G`e9YhaA@wqyK!hICE;t|tQ7(SFuddMIMXTP)epGO?xK8b*n)*4^%~SqpqP=ORpHRP>1gmo z_Nu5Q#$inopaJ&GYz35V3aT9e2I7-m9Gh&=>zs7VU^xKM7mN5#*v;=Ubbc%zp#iG% z)Sw7p|MuG2^d_LwqnFk73=z>1N-o}!SDY)|Y_ljC@GA|$kPrsKGgtSBJ*Bo60cz#$ z3q=rX-!;avZu9b+7sSf1PF{jmGK#4)Lls^PJR&>m&w#t#ddm(7z76sPpYhQFSMn2c zXv?{Q48kmM(_D*G^v2_2s3* z|Av`)sobiHz*jdO>f~|7Hzrm(9(P(5(oGkTXn+g%^*(dz_XNlclXFmSb%NO z`kqy%uB1MnN}5^FI0)3DHg2BR*{*wB0X*SjW1g88QTXeEef-^eyjs7h2n7Fr0c2@& zP<1QUf63CzTCeETzvSux{sA`kJ&%6}!SNPLe4SybYL2p1l%JO3&yE7cTX)y*k3-j! zwD#F=lC);Rh9`gLjfA{@TgH$8lFTh5Cso~>d!L~^X^!NfD){8X%~ye*3!pby`2D1B zUKBsrqdfKM@AXF4uHLoEF7#e~~u^E>+q@o5kRbGeybx%L>(khpo9lmDg zpEqYm{I6w96gz&Sb@3T$KGTDGxyqxp^t&?t(<&p~j60G+u?H?a`g)<^4;5dAQeC;3 zM&rgz;~BI0q^Ui;~XkVr6On~=DLJD6k+F$uhA&SUOKu($++7Cz((7?x)^B!vO9Q` zDY)&lq3M&Wr9Id)1*oWqQhm$_pZ)BHySDN!z)g-ozf(dvI*e4DkZBIsj%#noG!8zQ z7V}>NhHHN)s`$9-^?{gaFe@_{B~^Kk#<=J=09*dD+Wy7s6NXKlkzDfJeJ?5dY+e+Y za9q5&Bq0bmpE)e>#p~K{=9XZ%`w{qtq;FeZkNGr2N)NS(YoIK_sd#(d4Zao3QfE|g zy;g}gpWx-e|EHuQOst*wdhU=S^e8&SY5P@VIpGN3(-W>>n|ux4*S0t#foq#i8+Jbi zr)clxl>~wfz??x(@{NAAp-F@(aOmDTRlMw%0(QUT73{jllYb3~tE(sHM5U{W)h_^d z&aKnRhbbYzz!=2UaWHFNxi&Dg#5eM2QT|=~K}nrInzBx<`r?YPKXBo~)2tjgvPCJF ztIgLrOLtds^;Qte2HyT)#jQ}5FXQC|)ir(7NX7Lxx%mg7M`LRQq?%UJu#efJK+=7a zg{?JzX2@m%K&ogGi!b#jUX^c1Ql+6A=~~7C;O9c({FsQ%xw&oN(Zho-KHNw}hDf}AXJ1?vNTy}n|f;1g$e6%ks*Zx0+ z6=~=!JS( z!cSpRcY1&|&Akw+7I5s3J#q&-c$1J_{JV5k6v}(s?VVrTiCfiF?arz5QLuh?Fi#I` zCsSSuczp48&=%_+pVw+`SXlJ6CaW!%bl zp1*&&*gu3lRb~?^@Zqr0!ioWc#(1vtb7K(aOh!Ph5{MUYyZZR zF%T;Ivw2>$1$T<-GT*(-l{Yb;tQJyqfC)|1GqSef#PE7j&-|IUKGD z90PO3gBR9UicdY)t=Omr(n}j}EacX;^%4Jgc(wnXkAfi>b-C@$%fHxPTBnia*T?@) zVs2=GtZKHs)R@&Ll6{xUS1(7zLB4Woy6?){1WE7q33jr|W>2o#|HG{?fnd_y*Y&{7 z^i2ilABH(=LOa{rp6!c|jt5Sk3Kh7Pd3OR+t8`js!nWZQwr^xnwj=1n2Wt?0D=O zdGE?-Bj=bG&HaWeRQ!608QwDt_;Jz8ZrGW%A|ct-)&G7cN#Wa&nTvQS1K% z=XJNVud9x@wd3%)&Fj0=%6#|!+%5F>`~;*dG7V&FpQI7K)Mj2>t^>?}172RVf1jUSyB(IbinHsQG?6@r^ZIk_h4eH;$uGP3cxjsz;>VMnlDBOM?XAL16 z`+1Ky_VfM&KE6t-!(ubq^|iqe*?}o0|Ch;3{`r@ebBvI`!YE7iG67 zb$17SB>|W4w&t%d7(Xyg7+-sM{MWf^$Uc#`=VC%b$sePnscM?4V%ER$-Af+$uVKQq zdg|}r$ft$*ce%PkzNRE);_JNco~#Ye{r^kJ*Al)#$>i8?DdyJi-#%>#rb4H`X7FpgiJw!Xu*jvq z8zErIp(C8l`kH$3!9;diY7NTSF}w!!EezY5w-L%mL8{B-(NA}?r37#(c#oXIQ*T*0 zh4xCYRpn>t61z)>pLabk4|4&)5c_OxEg*Zj%d6qR_pPS%I$apcIeP&gh~MLqRgfgu zU~cI@4~tH(Qq-W}ldYW(eFYMo z+I(zX_v7A$;NN+HvaE;`YP`(G8@suo_*&e??nmou;9dfc<(GGB&v!5B#Rr~#-iu#1 zia7EO5xdv5Jw$(0B5r)n`S0?Tja;13uEmyA3w@?&tE0q4HKb8@_@Q?+4C5|eyDutp zH8cNkn8UBz9wu~dVtBe$0+;(b7VdU>rzw_7WJpMO4h26e+t=T?MPT@h>Gvp~D(I20s6XW)2g=6VKobHZ}iga9{9d4m9V{%h!Z~m8q zOIL%)FK23Q311E?t-8K6X}S|+<<>Bi+M8e|P?su-ef=&W{{Zx8;_0pss&Ums9B|3X z15#&?;^b7_#b%d)!%00CBl!WU_0I-|j!er6lJCBL+AyT`vaab7d8_!QFarxm#yO~Z zWJM6cpkJ*gNBtl;<1i?s6h}l(xIb2&nA!yBlywtoda7>nS{bsjL z&4tlHL?1hVd8dy&L}5J`M9fXrYH`LEq}nuWYlCLB5GBY&M2)L1p55PH6qHki^L=qv z!EYGTB4$e~aA9``((}N-x@PxiXeYwHG*}^yjCp)78P$kngcHkySawN6 zWWlnzCwb_Yh>NHWINW1SUu`z@Pxx6Mw_>^q3};=$0tXW>k$aoY7~v4L6E6L8L$PEL z_9%{c2Z^lw-R(2fmu1pT;ZH@ z8GQb=sjLYKd;S=nUvN`}l)X|jr+es>b9XW(Hyd?c!QS zIK#@+0weK6x3d#%L=#wlgj6m2L42ZPcT;3JXOdY0gQ$-F49R{rBig?hu6orBs@@2R zR>crQsF)cSIR_k83{TVW?H3mFokCMp(s0b?!OvI=A~ZA|P7I&BC&Iewu05FNnn@f) z&TZ{qNF+qc9YYy1jI~Q>4a`|BH)_6{ZIW|=lp$2EQF}Jex8Dshsz05ckB_pbrb9*> zi*^~2TkV>_uX!wj(;lO|j0mX1Vsb}qP@aZFWf-HjdSVEXJj+;Z%aA}$n}|C$(U$(W z5R#?I*6?UYgdrEmK|VM+k9<`OEeW2I(t12BDMeN#*$6omsphwokrRk+Vvolc&jO^$K&4L}C zssX>%@u&lKzm8obgKsHT+z8@P$Ooiaxq1NheC?Xmv-_dD$>e6S+TyUnWJQ9Ph2$kq z@_=#5T&Km=))A6=l;IHlb~Q~g3&(s8${itRL;uJeX>1Q{oEkztO4JTKNT^;Iu%Qbj zKp8KYqYQ7di2$xP`+x)4=>~QFV-40bOd^pr@IX$ES~qN1Tq!NuvUyVR+&-H271rQ6 zg^DZwDZU*Qz8{qfTWIc=6VDE)c{BXy#5j|Nc@w0bw%70I{Yn>6hH_+;T(AbskfZU> zE2^ag5pDQa35=N#4$e|Jv+ZqX+q$|!2WZ5Y8g$$2o1j+{WTJqrpG$%a)L9lCKoRgD zPhEleJVbZBkXC%*1V5{f!ZtGrLP1L9xRhArQYSLr!cH5NRH;=WRWU2(>M?OXP)*la z1FCmXGIp!NF8$`=ir+6LN2>YGxk3D|jXi{zb-s|cV7LnC(ZovO#J6lQwS8~44*1esH6X~C}TLCUcxlwl@} zBjsCP6nHH1LC>~&F>0}tk9h;wJ0wvcFXW{(ihUl>zSDL-hN~uRuDH4%svf^YO`IF{ ze-DLgPBygmbDoEH*UCvThahcvDYIIZg#Ds5^Nzl)U<4r1ybMQc{YftP1p2ukr&dSb z({Qg8@Z39yC}N8ixp6`@)^?$?+H36P)aRT;5e{Qp`Ust&NQlG~sS5%z5raZ+F+`uG z2>7j5IMAOL5?v|&&87&Z6f zyeEjC(A8=Xwiq%=QG#P7nB0H5pA&&;x?SX{QpQn0(+4Az<uRy9luI~5zuJvA2mcUq#Va>MxNNP z{-U(l;4aANLTcsx+3pcE&sjCc9v@kA)!Mm}@jeY(=Q^D==c?{`%#JEH(*`*m)i-d- z@qt7|?_uiP1iDrMhasA3a|AHSoKFO{rVv)dmV8OupM`0D04Y6!W9!B`U8bI^eG0Q{ z2}6t~XZc4tQP?BQ+2nBMpUOeTPGREh&C+?OsK;>lOadyPe}7hyNiTVz^*N~}0#z#H zM84r);y*z6F}0|f1+etPvZU0&0S@ECDr&&fU{W4?1lc^PAZ3(R3ZGY|G#jzrSyQv9 z-u!_z9j&&YKfb@FhbpDChIj`_3y5SZwoDlKj#}fr&Czi4-GnHLe^=3H$Z>Qeir8F4 zUl1fPjHs1bLJB8B$BB2)t&QwYaOk~aHl3qQ`OKDc&X#tOYDU+P11ng0T88x%J`7B2 zVqt|MXCai*)JW0Ns&XYJ&b<sbTRd4NVoAK^s zsV0AphacPMn&uHjb{QwBPm4SoPKT=tz}nm}tOjLdZ$cgw%^r)LlSqRa4y6!+=t9I~ z1h(tkvC0F97)P^OE9x=HSXj+e=P{aQT;v1hk=@}80bh0*BpDqrT2fqSi7KVOmBYu_ zaajJ!v;(2%H94WajfyqDiX7L+vl)ub97ZCJI1{ql_f@&3a$?F&?BHKD*z2{WG#35A zX14Tdpabe0`j{;jUv&Beq%2iW`%dhueXP7N958Qz31WA=RmDg{o;tRV-%E)Pmjrv3dUiwfP)E^Q0{YKgjA)eXdN8rL@i%1^L^uro3Fj!pH}3%rMQ- z$)E@m-wz|OcB2|rWW3*@XWJ97EP4$~gNl!mE>ZLD^tljRyD?I1N(f)vHqF4q`5S67 zqyCFdV%xkoZMif5OS*UOkZ8kd*kD*F~%H(^X81&++Cy5-b5sX zQUy*~s|pnk62P;om20V4^)0a*vU^0Vb9V&)Q>au&1YU9X8p!Y}lp}#K7=S(a{Kr z#KM*prLbxfi{ZH@Uf}VyOodb`vUzrX9~u09!`uv60br;7WpFNOVEl({;JsKAUQ$w-4pW(*)10YQR*l7oPafMgugAPUmt3`5Qm8f>zo z0u3}E&>$IxoRua>e*1I-HiGxgz3Z*@{=D;JmZN>nsZ;x_s;_F--upI(KRX~AC#Na) zKiC<6I5RZl%{T9XnuF}Wwt;47X;#i~?Br}ACwAp*VG%b>a0<^OqYp8$jNU^=Y2Ah> zrKYGx^pRq#Rha#oxdr>y0v1ng@bVg0nV=75JldjBpHa+gcD+@gC*!8Q0>s6=Z;Cw?n`B&s=qS z8c1Gw$IlkEzIInOYn!9BheUIpKgn@65*UtW4#j2rBhKCWIi_dVG22wA6wu*T3re$g z?ih4}Z}2bO0w>TY7Z8sKJvBd#&18T34q6J^F*FAo2HxdZ5^?=qEc=oVSD;3kaVb+x z^8ZKkhWh^@n#;>)bfFt<19wThti~|5T?e>0E-BE}njY$vO~}0!&m5^#hmfZ>D0kw4 z(yeALkPtnXtumPb>~1KDYjeaQi;O&NZUViQbR5QP@_vOpDx&rQ6V1Sy1O6O^3Vr=P z&p<^6661I!c09EN4@w;?0$+QE(I(_3j7z07C=Zy!%;#iaknzlu58IqNx+kK}D^MF4 zY?mZ{#9oPmtfeor? z_&y(sAciR37@EL?c3nfDxmgueXJq}cjIAh9#CPo^m`mCz z0t@hKUefHqZG*+$pLhSwp`BtnZ+F#^l{35FN>A>T$xU!uO^f_%63KlF`Q3tPhdtc| zBD}H%wbW2X=bt9h49613QaOqa;tUs4BId0IPRS({o>OMXKNqSmJ6IKRbjZjxhStt4 zTUXbmDB-VBsL1U6InOr9WRcBnKb#wEYw`q6GYq z+~zq1P)t5~Q4d!r;+&OUKCttRKFFHOb_;9Wo=q3YHQ2IYFr5KVw^o z4kFCdlKj$#+r#alRFG%)HT{35)qli3$Sb^XKpK&G8+t;=Uyw!C#LjHVmcc?3w5rc- z0D=0XDOiZ%EaP?vx+mj*v_z9N$FS)-$-*;x9Mv3@>{ESy^c2|;bpHzkXx%A^D+RvD zh}@3>Dzf)06$zW7xDjHyzr32&7hx8$rISd2t^lyp0qK&uv&}l}?PvA0g6U6XRR#5- z?j1IrrY{%@qN98Zg*=6y^o#!6(~bbBBvEDkkIKi8dv0E8&wWl{xTt3YYwyy6HaN%T zR^ZM8wz!B?1{^)7n8eSAdAInOg0&3T(-&r>rfjyf!C%$NS9ufkb^eDzfT10B7HofG ztYbHLRkocfmylo5Cf=p>W!$@j3S!`_KjInqTj>+wDUego`YfGcoyuKn<8=oI?lXJ`ELuqc0aesrQ!J({skpp zWioslN2iF&L%5CIH&JJW1h?M=z_mH>DwZu@7x|#S>zBU^b`Uc`BSf1mYekEZqAaTI zbeKfM)As9NO-ks1Wk^2{*d~=|slZw9fl}3WN3;wo>y}Ru5NTI1Q$WWohDW?o6cU*u zL%+yydlqj0xtexH5bCSjdykmhs16M_B5@P&b3?3P(#Wtn-JU3S^Pp*vrwDCtn>-emg4 zlS8k8%{0&p3P25)KuYBK<2OW_;J=}`u4|Vlp%Rc@Z3?JjnSi$lJ#YY0H#(apBWpr*Lo&v>>C;zibnplN@9kV7x+KLQ+>w(w$&6y&sP~E0w6vjQ-4;&h>43)^;Q$R74>mj>NuqBhTDDpxEMe?E6cW8n6FUg8!c{2d%y#CW zaY#jG8^ZoA83rEc28OToPIu5G$c7b$o~*j$SXRH3^=$Vew-51nc$C!R0sv!BRZWGL zLv7kAQFy&aWqza{A4B#=A{&(MWW~e4@e!_c2oCvY1emsCONLZB?w?C z>iM1Y*Oqnr2*bW$EWm5xhV`< zu;z6zCUe`*rvo(761HZjA&VOF@B%xBRJ9i4$_maLn z>;tfv61W8fa#~<`BqkBOEVtpNtqfTA=WbyPm_Yz(=VjowNTh(38B+24pqu9)*3TlV zG*s>f`j6q6?+g9_L&pmbPJxWdBpP2Ev!oE|k_3gy_55 z$0|m|_>AcJn}H+~U2+pL9#&5pONQ<;pp0N^|u;11y=2O5o`KwGNp4-$eiS z7$(rsCyD0YjIM%+a}Mt3p9jP@VVz7A_B02$9`d&P->^!4A9?2cZNUNTEOSXK+LE^; zj1%Or5+RWVlej7Kw`>#~OuQsK?eDrMm<>bfR{$xgL)#fZd5nKBNzo6jW5aF`?See@ zgLyV=Qi$#D_4XkZ7AHEQ*7-(`r+VRm;-_JTwsBd2>~{0d#BLO@u<;4 z)qR0DS(HPfGJXB?XZvC<&hQfr(4Zm3i^4PEyOl_C=lPG&i#CDDZiM;Ha_|YGo$pMJ z-kk_Ml-GMg#184BsL4MH$IJ%BJRx(jqX%Owwm(`EAeMit^>G)(M(6N|p4ao^e#)>l^m}AhF*VV$ zPTaG&4H=A*huD7%S$Vms$GO96!1RAOp5}rFdg5;Ytfg7?D={#Tsw%Oa?*tu$``_HQ z&k8#M-LUwU!V+~Uz<*vW>;to`Xj77psr36juig#lU2vHKdHF+w>_Sc0B*ecL3H}jc zw@r9}Y!|G~RM_cnrONzW`X(@vP`O;rjmew_%kF-0-+2bGCl72p-^1~$;)WtPvA5AR z7qgsv7sT3Kz&1D!$X!S%tQ&G_`-p;z3PW2LwM+@6GkfEC4wejwcN5IOCQ~<@LDIqg z+a~5b+jGbW6+DOFxx~J6hT{u!ISK{D=XUVu0_lbi!VndkboZLosqxRm| zkK~Ogc|YY_v=4eXYBlyyq?ihV#^gtT+L(mbfGqOj|{&Mxv(UMB` z63&>U;b}sp)9Gi92EP5RDStTs^}#GPqx~oMpAV<~;b|tT_D0+NxVCn?b)x`wiZwhE zBiYy(WON?q+qGC%OIW0xs1+iFvQD=;nletil>w)ioY!b|9w)O8;xIYueTqq#1(Z1E zaSD}}9X%IWU2eH2bA!A`X`vT0g*#{u8Y*nX$iiA6c~~=_vj&FK%V&Hg^M;-I`SWs( zQB_0qI(BpMKxG8E`M*|Fbf8aHBd1>2bs?08z$B+sJuz)i-8c)S(CvgL#jm7y-j7#W zsK88xvJt?vTZ|AHlTz0xEnvqXerBTco3iO@P<~`X^Eu!rp;nE$7awhvi zp*s6|u~5)*0F}s*c{Ot~a}FdgdkB!3YXX^n;UMqg-1tP>w{(p3H8()nV6q=e#+Qsfje zGK^!M^wPC&vTx&Wo0?C7mcl0MW&Z?m4|#* zBlVK}a(P^@xKH4NjVBRxHK9pC6U!~ZxvNjgYM0mQ(U{NO4}08AgjZRdhGlv}&@bwe zBtSPD=%-s4#wanR_$Z}Gx)9tK_61BmZN)`)GyH8inpi_=|NN!qU zxtD1(LWK0892tWUO1WMlg*wSxNNg7 z?GXKFe^L|g%3|^Gq+|qvR(v)}I_fp+Dg7djdbj!ML3Gyg>Iz}NQO_Z#hX$HW)0k%n zsl5zv>7zfg_r?!7v_ntZ_r$c~?0Ls$KYj*1We{Hhum<08rgv4j?!UH{4&#N3g7Owz zxyq1jyaShHMi@A}!On0tPBQNEe;b?ktfN=@P4kEIZ?e>sS>9>U6y?J>+r zi1V1mi{;H`2HClTy)f6aGI4b1_^v`p{lZ&1YfC}Ph@~&419uBnmE1?gg(Pnyo0(XJ z(NooILi*v8z8BOu7uNdGi#7F=)d$?HPnPhNt(caDYewCaZ(k(xV3EU z?}%&ijorbgde*{<*GlviD*uF1KW_E{WH|Js*gLM>s$m=`=`o{U#Z<-*%np}u1dHbf zfm+Y09>3PowN%#zGe89^%mYvW78t<-fEF3iBfi*H+T<_qpkurm!;K>K)+=%pRUW-v8kGC8fvAi zAS0yn-^w<&`<9Y?1Y$&{$PDV*v0 zO4v$M9g|y?`M?2Mo7&tuVR7G^=wGvLIBHv%8~1Qe*RQYm^NL$t?=sVnbg@4k$ZT#r zP0!T!Y3h#Cdql7?XlE+OS&(O}3is$!Y+FJf&V6ZNI@Gqlrsy>9A02kgI}zE+9bK!x z_IY`xPiGL;HGHx&*&WP2?T2Yz0|B5nY{!#I#1WSHZRG#Tf7ULHw>(HC1;PqaQDob7qW-1%( zL}ivZ(qC=zK-;ILb(Sob>e%ko`883(=z@M|&(g#7;4EeF38zb@!`NXSk>;xb+Db)c zYI=*GB$Jwv7KHbUyqewN9eS%i6BktVRVLGQXHhSD*2ENd~ZQpnk{!Tia1e(cSv$wi^%FnYUqvmuC-}@mGd~hJ9_mXg}dy30oRs%Rt^d~^B@T|m954cqBfDKzuKnbY6Vs=mi<4FpI=36 zMPk3JB*gcsT{L&D#dlyDED|12GWEwRP`e&(~AWpKliNJ@rW+WMwIoL&g93s zqjf@zn(qUwn!uFX>mkVP5P=%bC;H4~lly7Eg%`o7ToFtQtm(&VKCn z9elyN{L!)43`+GI1gs6Ys#Z)i3t%hCq zU2BV@9lSDL=NfY>DKe#rr+VCDj?O$9sn;U$nvmIDEAPJ6ifqrvId!z~8qfdWp|IRr z+KKMxMGi9FMA_REGkeQ%%SXAGM~Tn(x%RF(G`9P9xpZK^{ENrB3qD007rT~@%5GA^ zb#j(>v@I`&&jhx;(9)JyW$@3fPi3p@07q7bdPQaoq-(266W#@{nnj7bn`SQKX@;X( zY+Ig3&Xj8xf`h+{##Q{AWZkxcEZ+?ubCjA^9#_9Nqt%-fV^{n^!o#O?R}T%|r3Fpy znB^=&-C;$gr+J7HQyUW$7s$hu>PHP(FlCW>rG8nR=l$o8K2=rCnVmRB=hhqBER9&2 zMC9d~)_3RmIDC3^h4 zI#-x(6A+I^=va3LStdSzOBJF?ip9NsB3)qGL7%G`utVrr0d(o%6T<5kWqu2*-O5A4 zrh*Jh$o|hxA85oxy&26UEEL@ljuWmiB}pYhLhefh;ZNmbg?*;!xP=IZ5ijG>D_SmZ zC`+_5#M?e&c->vlI>K&>nfF_Z2=eukqCM^jOV85FRtAOFAIP^;t+9w&3;*?VQhPt4 zT4>d`c*u#9@XjvU&pvB;a;4q7!tTqFQp&|nw)Jz%3@e?jg+i@m(^*ItFr!%dOTDDc zNJFrryNJia;zKrY@S1^bAa(1QmU^1$hX$1~-`ku#5ZeX;7Sl~y{!4ek`*9(N7BTJc z7`hCjomLlgUA|Bn^45t;%%589_Je)ox;wytxV{BTit)-mo2u#cii5d->dj=9Ict@! z)JNCfSpb11Nt~0D(XKj{CvDzp>f=1^;?oqW^>U4=mELvSoMgTTuS9N8JKZ?JvJ4TP zrfa&|SJGZ!P`K5kD#t3RX&Ne0ti~7G7*?eho?VX>l zNn_BI=Z|2sxqox5KeuA0R$gdm#aXr;vDhf6GZQ*`^!^rz!RljyaNN=8D@9+fICWI^ z1Ps%+3Yg}D6m|7g@m{BYHvbIt)z~mEz;90^HFK1-csY#a+ z{&LVRk_azYf2TZLqJKXIk;+xqB-3Urp3yF6@#_6(Vcf6&D~{5(*I)TRNtW=NuYHbwnXPRE>90UYhC?K zLUOLk(q|M7jHjyquDGfs3l?a(A)3yKGa8g`1jeW1_w+-?-Lq9--mc!!kYilaZTpu; z^(X(_wVsk+hFknM!hh#$!UJXL<>~4djKw@21bhiQlOdf>@mX)_vCu9T$I_hDC%S|p zo7${0!usGm<Ln!Z5Jgxre7G$ zu3yO%v%aTq-L@1|+$X*sa5GoNeQ8ZpB9O-8Z=dF%sL(=H&$37)L2tusP8u=?jxWgz$6_-Qw5#ycQczjk2N`a2iEiEv2H8O?Nz zz^PL#=E_C{pA3w%s{Z-%ViYl%D(?;DT<)R;%Jc~bvz0NZ%|qHI-$K+skUTnd6eg#~ z4J5L?Uz!WuNbC{(*Ao+9l52jP2U0nQety{3h*`F=y7|p&=sf+waxqe>M)vezvboSk zva;Ux&yifRc9K6NH3FP&VLyZGvdg1$3bstEW2~F}3wv!?X7r z0}Cj3h09Os%5?RKfv0k^uc78IXBLhYT7_CUE~`VUill^jHBGIe2GDs=r(0yzGILm! z$C|6VDg8r1`$N;`gIUnQ-@dtHQ6&tQ=zC*RCsM}bS#%hv^+?SH47S~S^Il6-7d1a+ zRW$cRSMm-h%WSA$$|jt%(im*tQT{tIPgfD|kCV5v21%A?}SCA2JEe(2KL&s3{ zT{g@qdzM}|PSBEgQr^BEmhIElL^2gQuQ*wuq0+whYJidj+8=*{M1kh&Fs zad0t{=ilUlYL|3?wBUk*FlTU$(*tx6^)8L9Gq1@3I#8JEv{Rn4wqI6&R)Ol_ z4?Ad+IT2U`Zn{oBZLuplA_8kPvuc*4Wwk|f2Z!!pOsWm!Jdd*K-@26|?D?1tKAp=M z_9-!y0Ynx-MDe|4F=+MT#=Df^gPLaf$)U%IGiegj11%ZU#&->wli=p*($LY5JdiCv zX_O55uzJ9a=xrNx@+bT!@WMmA@sKF^AzKG}kIvtMmi};k{21W&cocj%(8x{lGMff) zLr%qDiZ8|Z-hE~!S(HZN;i>w(X%k3k&xYc~+Tezl8gg)MD=q#b*Gqo4#`HW;qYuuu zLZ*^m1GJPDB{~e3Y82G(ZJ-(8HWUN|Nqt8R=l%`;u#Ko9;k;Sf?jzX`0_z%-yO$r*Pm?vVHZnZO;jYpCg`V^jf3-Pi@c%GM3OWOfe!D ziB#XvicAHJT_$qm!Pt%1iCq!MmP=$XdHeT~BZ0hNpGV>o3f0eavxgcGkbhWt78R;IRk62vC$1B=MGtBB3~ zB4Q{%W?4=(ss+<_M+8(U<#SQ6_PY1j&%N)e!0tny?r^)w#e3vwk>z>>`H$KX0 z7dD}HMkaQtnZ4Z0FAltG6>ex~(5b$EKBM#H3lJmOka)#b;~Jx)j-)*!^x)H!Blkp{ zfHxg#2qq^u#Cglgyqtw{BUV+^{Jj-^p7Lx|oQm7m&ryfbdw=tbc3~0UL9dLW&&9bE zHP5(kPR>EFiI9{&%pzt(oGWC4hdM!Qoa8zee5CgT_FA%p{g1Zy?2HrO^HTpC2p<8% z3RY(OSZAgOY^h;GQk89`{tbU1yMsjpya|AO!%jaQQ%izm*f;?;_QRcVJA7}6^v2it z2Z9$otRN3ya=C2+Z)w3y{Wkh={s?sX;D&%o8URt$C;tP-Vcj`(@*U}RAQuep>Bw}@ z-*STMTh~ihx|{3ud9dx9U;N)@z}RyUpFd2Go=fY1+zYNzv4$@C*0$0nfx6yCi~7S=x914+Z$kE|7nj2?_w7O}ov-fd44Ftc!^I1KOCQ zP6zOfVRf41IJRim2Af3Y(qX6!5GP12(ST5`K&+j?tdD9GRocN*rIt)lD-2#}0ybQ|m)9G|vYjnu3hAWKp4=nBl0- zuCe)0DD|q|#c9>D@?XXR+`ejJ<7SR+gpHI?$X=_f9!zUBc8g=qh-^a=@^ul$Uj==b zq}Ej*Nc9j2Cg>naxS6qmP40>199L>!JavLzp&Nr|Dk`|4sF{~KO37YQlYzP#F0fFa z{MN-;!2)k*5C)Jf^UM+F;HAfJno_2Qs~`RbmYIQewYcwjITDXxyQ1aC*`gapbVfN& zl`XpB=34^G<8gB~c}<3y!ljl#4g(0Lt4q>k^MF746UDs_$}At0d@(~`z_tbOaSh}- z5FmwNHlQQyfwuHh8GaO~l8^PSDJ=6)_5MH{zi;32iLBFQyNBvtSi0emtl28mgtv3ge`c8Os0aNH5$) zM_JyYosZ)|xl@(5OM*t~I#!-2k2Co)J|d^*rGOf!p5(kfhf)J8S4?_p?^)^Zxp2gZ z7`>&Y;r_poqq%L42K>u~Zq%OD{=vit%`%W%4cq}dLbKCxugXJD{Wfm+1s?gHBN^}YpkI}mnvKoj3xQVDx4i?SLeGkvX=Q{hs zVNntsd!&u|l~}JCNDa@d3Bo!QDDP7d-y8$-6)D6jh1;KjB~+{kuqi;2;n@RHY#Tr9 zRs*zx(Hx|fq$|*v+_Yg&zZ%O`pLmd`uDuVH=V;oIAvCZwI{$%@@`M2FF@ZPO81K2~ zzC1V#;5;2#sxEoH6x1g}i3^~mra$*vZ9E<51O(|kFdie6Ov1x?#^7W?BUZ;L44Y#C z1I`d^9}7EhK#pAgJp>K919#G3lLNEa7$h+SfG0eJpFkP2odAc}*bPR(K@5z}0@(s8 zqK(W0__|qd>%K5yjVZ$gx@>%C_kZxUgv^}Pu$m_Fw@n&C zTqiKZIa?_Y@^0Dhy3K996y!{v6f+1m7y9E2={P}U^`=^poqk$}>7Eefjjvey6Sg!D zW3g}z>ndVt1v8d7o@1QTHK|5X%eWy&^fo#CL1IVIuvH~HSG#w_WAkyUF#a6>9saU* z;LrRm*8>UG1z4-l2m($UDOBpej5J1coRuw#WHHg&!QM#_OzA`{`EJ{gKq9{!*c3|zCc zz{`13@P)fkvF2uVR6;lSHZ^yf+*08}bz> z4#Y*U(1T?^SM8k_csPi_@&0gk%Dr41rHXrCk{y?}`X!-`~D0N%@y|^y*dfM>;u-oIu zZ^{PJQp%$NhVT~uz(23S2E|vd9U2i@Z3K(jDKZ$cff}O2g?|Mub$}YKKl-)2P2ba# z{sw})0FX8=srTeX-)*jzSi*^M*;z*DeTTc=5y>!KKqO#LOxf(^ZyVND-Z4NIWXZri zv))m((3@P1@aZpGF!Dd-_0v`8w`%TNzn)3>Rbk)H6imT;C@xcBZOzkuDTr<12>NZ( zSUsKA;@XGfKT(}fNRX4pJma%S)4=>d@$QK?bx_)Quf0{`E=ub^{Pt)9)g_fu&d$We}3K;KM5=TxOkr-Gd`%1k?2F}5|E-~5w_1%9A8`K^>qE?avMH>Y>&Qa)Uf^Ax zJ#Z*|EfE>ni+F>u=w!L+KSdwcq3Ykv-|`{!=rVdTQh{4HU+by2r3cARZ|eK?flMrW zDtc)RnQzk5q<<$4hp)M+`bcJ!sgS+;1eQK&rr409Iq=zhh_iQTV+q%zUj7k zd!9~E*C$<%X!}3k-}43WI^j|C-LSc;dxvD71=@dT8%yHf=fCJvG<>OATTJf`7gjh| zizZ1j{*XkWbE(i`l|+g!A~#^Q1f7p8FdfZ^?5R$?@9v|jf#8mKp`P=oV=FR4y$jF=FX@#k(s;Xl}L^87_k|)F_OeZ)M zmbm5>h?8^Z`_=7rWwOn*&$HKmhH_+&30nN2t%EY+TwfJj(p0QG-qvF_Uagro@yE^F zxi=;j@6K9FJ!#C!WftaW`}}(%?!MwW`qX$!5G9`XoCZG+OP61)p1gY&jdz-pSDMCF zT!r8MQ~Mq(%v_x{wU;ae(x%#b!#9MxZq$qD| zo7K(!mqroW0v%bVvy)>yVjWf{}|JT>g^tmqm)iLf!RfC9DPg z&2)cZ#_^1Vnz_0)L}`J;K~}Xmd5lt|$h)emC^xyMG#;Ef)(Iv?XWxs&hzn0Pa|>%% zJkwNFwbSZQ8bdiLYNnkBC$I|yhgH5yGYs%MErUxBi=rHpaUC&+wD-CKqC}2;I<+q%yWR3*vO4AkbCT|IOXhW-g3zhgcFz*$d{7+7 z0XNib03ww zdbF_v?C#{tkvsAmPSGdGFCp=OqVc_)DT~cmOqA)ZKJWw7>>+w z8MDjC_~*2xs>vH*cS?(jQHpt=o-m|!DCXv5$uHWO*VRS>qi519P;$w1s_Ikr9{7uxu4}1F_N}sa zz!AMhN~J9mJ;@%YWI0;B4i@CjIPNycJ(-zGukm)xd}!a7%rf8@cxjhRa3CRE<*NdIfHF(9Z|s>o4uZ}foxwNz9pD|5f9k$?9|4^7%Y z_nFrkLjh@okgC+j9Ng@y)*Na#oA*Of4>eNbl6=R_%7;A*kJOq5P}lQrj$L1+;03{i zQp?xs3O0urn^glT6Hgx#8qJ{dy%*ec_L^JTe2blv0J0^{B8Nwf(0V$cb=X^2q{uP& zu+vkHZj@2x^>7Uytc{uqAD!fc>)>FADyKaExiLQHKbHg~WAVPlaqDeVum!@!=nwsi-lJ9Z*)O2^TTuV1g!XebK3yF3D=CyT&1*UZ8IE3 zUkWAHrgUB#Z>k77`P2A}y!!V*a&IE@x29-(+}rT+#zS+}d4&E+j`Sso>Ne{z2 z5B9An&wc3TR0kSKW{JC6lVDbpF!IL_n3ahT@1@@HwJ$|BeLSiYG`O}#ZazC4~O3EXwYYS&fPWd(*3}@7o zEQo)0x+LJ7k~d9M`0byYE+J@n8pN^Z_=QZ(8`dJ1R&HRz>}B!3S>72FLrAMg-7302mHfI?l*l@M(ti4bR;D0^W4-&L%s$5AOtg&6b!i=W)p(Irt3r2tZnitW zQL;!8AW`GJse$dPe{!mAA8XdObs|l*IhtxhkBNi4Gnqq$Q z4CkrHh$DAAhLjW+m$NPMBM{HV=VFdaO6o<%@w)TsnC9xYG>>MP+1$m?Xt!uwYHMrz z%`_`NAV7Zp>|QA5@RhUYt23neQNKJ!Gf>*TD<+&7v`j;fsc3r zn(L9A&E10w=C$*;!Y}C>I1ycB zACME!Rts#}UpZ%vwK&p!degf4*|Fy@?kykJV7pfWd*IgNuZ#`G>ksyl>BPUWf1lUV z-2Cgwlg7+!%ArNABaBykru&U9GHpG;^VMZ|C4(nT(7BxFenv(Xwh;