Merge pull request #160342 from Luflosi/update/yosys

yosys: 0.12+54 -> 0.15
This commit is contained in:
Renaud 2022-03-11 18:15:51 +01:00 committed by GitHub
commit 28721c55c5
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
2 changed files with 7 additions and 7 deletions

View File

@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "abc-verifier";
version = "2021.11.12";
version = "2022.03.04";
src = fetchFromGitHub {
owner = "yosyshq";
repo = "abc";
rev = "f6fa2ddcfc89099726d60386befba874c7ac1e0d";
hash = "sha256-0rvMPZ+kL0m/GjlCLx3eXYQ0osQ2wQiS3+csqPl3U9s=";
rev = "d7ecb23eeee9c9b4924182ce570c2e33eb18abff";
hash = "sha256-aufWRTggJNOaUFsjh5+HFDqEur+nuM0hZSsTfGptbks=";
};
nativeBuildInputs = [ cmake ];

View File

@ -72,13 +72,13 @@ let
in stdenv.mkDerivation rec {
pname = "yosys";
version = "0.12+54";
version = "0.15";
src = fetchFromGitHub {
owner = "YosysHQ";
repo = "yosys";
rev = "59a71503448401d2476cf0872808e0a99c3a4d81";
hash = "sha256-cz4PQymaA9UW91lN+6iniFhbcPRpFNIAeC8ZkwYeg0U=";
rev = "${pname}-${version}";
hash = "sha256-1NCcsq0otVKTTSSmnX2kCvvngF1yzqYWlRVzu+XY65w=";
};
enableParallelBuilding = true;
@ -143,7 +143,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Open RTL synthesis framework and tools";
homepage = "http://www.clifford.at/yosys/";
homepage = "https://yosyshq.net/yosys/";
license = licenses.isc;
platforms = platforms.all;
maintainers = with maintainers; [ shell thoughtpolice emily ];