quartus-prime-lite: use exec to avoid one needless process

This commit is contained in:
Bjørn Forsman 2024-04-29 20:38:45 +02:00
parent 7dfd0a3b5f
commit 9f8e5fd53e

View File

@ -94,7 +94,7 @@ in buildFHSEnv rec {
echo "export NIXPKGS_IS_QUESTA_WRAPPER=1" >> "$wrapped" echo "export NIXPKGS_IS_QUESTA_WRAPPER=1" >> "$wrapped"
;; ;;
esac esac
echo "$wrapper $prog \"\$@\"" >> "$wrapped" echo "exec $wrapper $prog \"\$@\"" >> "$wrapped"
done done
cd $out cd $out