Compare commits

...

2 Commits

Author SHA1 Message Date
colin 06aaf55e30 app: stacked_cores: 61-xx: more experiments 2022-11-22 12:56:01 +00:00
colin 0d62b60423 app: stacked_cores: 61-xx: complete more runs 2022-11-22 00:25:52 +00:00
2 changed files with 535 additions and 39 deletions

View File

@ -3800,11 +3800,85 @@ DB = {
MeasRow(6e-09, [-16888, -2580, -30286, -30295, -16234, -16799]),
],
}),
'61-buf-pos_out-1_1windings_in-4_1windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-5coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16093, -18544, -17015, -17017, -18547, 16095]),
MeasRow(6e-09, [ 5662, -12399, -30250, -30252, -12388, 5628]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16112, -18356, 14630, 14629, -18357, 16115]),
MeasRow(6e-09, [ -5148, -4895, -30169, -30166, -4898, -5216]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16139, -18320, 15236, 6340, -18355, 16109]),
MeasRow(6e-09, [ -5706, -4480, -30176, -30188, -7021, -2130]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16164, -18284, 15602, -8097, -18347, 16106]),
MeasRow(6e-09, [ -6198, -4059, -30185, -30227, -10740, 3310]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16180, -18261, 15812, -16124, -18423, 16120]),
MeasRow(6e-09, [ -6316, -3843, -30176, -30239, -12846, 6234]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16201, -18240, 15954, -17023, -18531, 16086]),
MeasRow(6e-09, [ -6360, -3771, -30175, -30234, -13130, 6482]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16246, -18183, 16200, -17147, -18593, 16058]),
MeasRow(6e-09, [ -6421, -3652, -30174, -30233, -13221, 6517]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16272, -18016, 16535, -17266, -18770, 16021]),
MeasRow(6e-09, [ -6517, -3417, -30171, -30237, -13413, 6542]),
],
}),
'61-buf-pos_out-2_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-8coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16862, -18032, -18498, -18500, -18031, 16866]),
MeasRow(6e-09, [ 13648, -6586, -30892, -30893, -6634, 13817]),
],
(-0.030, -0.020,): [
MeasRow(4e-09, [ 16862, -17173, -5016, 1968, -17178, 16865]),
MeasRow(6e-09, [ 13943, 1340, -30880, -30876, 9162, 14119]),
],
(-0.030, -0.010,): [
MeasRow(4e-09, [ 16862, -17173, -5016, 8807, -17186, 16865]),
MeasRow(6e-09, [ 14019, -170, -30879, -30871, 15151, 14170]),
],
(-0.020, -0.080,): [
MeasRow(4e-09, [ 16862, -17218, 1998, -18456, -17952, 16866]),
MeasRow(6e-09, [ 13846, 13142, -30876, -30885, -11820, 14069]),
],
(-0.020, -0.010,): [
MeasRow(4e-09, [ 16862, -17179, 1999, 8807, -17186, 16865]),
MeasRow(6e-09, [ 14125, 5676, -30872, -30871, 13523, 14288]),
],
(-0.020, 0.000,): [
MeasRow(4e-09, [ 16862, -17176, 1998, 12519, -17185, 16865]),
MeasRow(6e-09, [ 14153, 4854, -30872, -30874, 15815, 14318]),
],
(-0.010, -0.080,): [
MeasRow(4e-09, [ 16862, -17226, 8824, -18456, -17952, 16866]),
MeasRow(6e-09, [ 13903, 16253, -30870, -30883, -13434, 14145]),
],
(-0.010, -0.030,): [
MeasRow(4e-09, [ 16862, -17187, 8823, -5059, -17172, 16866]),
MeasRow(6e-09, [ 13996, 15199, -30871, -30877, -253, 14204]),
],
(-0.010, -0.020,): [
MeasRow(4e-09, [ 16862, -17187, 8824, 1969, -17178, 16866]),
MeasRow(6e-09, [ 14123, 13587, -30871, -30873, 5570, 14297]),
],
( 0.000, -0.040,): [
MeasRow(4e-09, [ 16862, -17186, 12520, -12087, -17166, 16866]),
MeasRow(6e-09, [ 13942, 16371, -30873, -30878, -7822, 14190]),
],
( 0.000, -0.030,): [
MeasRow(4e-09, [ 16862, -17186, 12518, -5059, -17168, 16865]),
MeasRow(6e-09, [ 14023, 16161, -30873, -30876, -1133, 14233]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16862, -17183, 12512, 12514, -17181, 16865]),
MeasRow(6e-09, [ 14264, 14023, -30874, -30874, 13993, 14420]),
@ -3909,6 +3983,34 @@ DB = {
],
}),
'61-buf-pos_out-2_1windings_in-4_1windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-5coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16118, -18613, -17371, -17371, -18623, 16123]),
MeasRow(6e-09, [ 7112, -12249, -30142, -30143, -12270, 7183]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16102, -18246, 11179, 11171, -18256, 16108]),
MeasRow(6e-09, [ -3068, -4125, -30119, -30119, -4198, -2907]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16109, -18238, 12408, 6402, -18251, 16106]),
MeasRow(6e-09, [ -3709, -3632, -30121, -30120, -5591, -1172]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16115, -18230, 14190, -7975, -18244, 16105]),
MeasRow(6e-09, [ -4907, -2704, -30121, -30129, -9824, 4243]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16152, -18180, 15319, -16227, -18345, 16129]),
MeasRow(6e-09, [ -5555, -2125, -30117, -30139, -12421, 7452]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16198, -18014, 16316, -17382, -18603, 16108]),
MeasRow(6e-09, [ -5874, -1695, -30125, -30136, -12964, 7816]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16202, -17907, 16537, -17558, -18697, 16100]),
MeasRow(6e-09, [ -5943, -1539, -30124, -30137, -13098, 7869]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16110, -17683, 16792, -17798, -18888, 16124]),
MeasRow(6e-09, [ -6054, -1286, -30121, -30142, -13332, 7960]),
@ -4024,6 +4126,74 @@ DB = {
MeasRow(6e-09, [ 13109, 15769, -30113, -30142, -16332, 13296]),
],
}),
'61-buf-pos_out-4_1windings_in-4_1windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16254, -17691, -16606, -16633, -17699, 16244]),
MeasRow(6e-09, [ 8464, -12053, -27985, -27989, -12089, 8443]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16232, -17547, 6781, 6794, -17551, 16222]),
MeasRow(6e-09, [ 2611, -6114, -27951, -27954, -6187, 2683]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16233, -17549, 7596, 5746, -17552, 16220]),
MeasRow(6e-09, [ 2351, -5886, -27947, -27956, -6495, 3009]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16233, -17551, 8929, -1724, -17552, 16219]),
MeasRow(6e-09, [ 1656, -5317, -27947, -27957, -8471, 5043]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16234, -17551, 10206, -8735, -17549, 16219]),
MeasRow(6e-09, [ 1010, -4793, -27956, -27966, -10344, 6951]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16277, -17490, 15901, -16640, -17689, 16239]),
MeasRow(6e-09, [ -566, -3194, -27953, -27986, -12678, 9289]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16292, -17334, 16634, -17451, -17880, 16222]),
MeasRow(6e-09, [ -703, -2897, -27957, -27985, -13039, 9464]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16229, -17141, 17023, -17818, -18055, 16250]),
MeasRow(6e-09, [ -778, -2662, -27958, -27992, -13286, 9570]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-1e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16817, -16744, -1631, -1698, -16748, 16813]),
MeasRow(6e-09, [ 15367, -2226, -27151, -27152, -2317, 15423]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16817, -16750, 5833, 5822, -16753, 16813]),
MeasRow(6e-09, [ 15280, 1260, -27149, -27150, 1219, 15339]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16817, -16751, 6127, 5485, -16752, 16812]),
MeasRow(6e-09, [ 15279, 1549, -27149, -27150, 913, 15339]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16817, -16751, 6511, 4676, -16752, 16813]),
MeasRow(6e-09, [ 15286, 2022, -27148, -27148, 273, 15347]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16817, -16751, 6759, 3228, -16751, 16813]),
MeasRow(6e-09, [ 15293, 2495, -27148, -27150, -628, 15354]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16817, -16751, 7193, -1688, -16748, 16813]),
MeasRow(6e-09, [ 15302, 3679, -27146, -27152, -3579, 15366]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16817, -16767, 16083, -16470, -16836, 16813]),
MeasRow(6e-09, [ 15218, 9905, -27145, -27176, -11899, 15302]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16820, -16425, 17256, -17745, -17021, 16813]),
MeasRow(6e-09, [ 15187, 10442, -27145, -27185, -12378, 15294]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16854, -16944, -16479, -16506, -16946, 16855]),
@ -4070,12 +4240,114 @@ DB = {
MeasRow(6e-09, [ 13450, 15768, -27947, -27991, -12006, 13870]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-5e9-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16726, -16690, 3280, 3333, -16691, 16728]),
MeasRow(6e-09, [ 16033, -5046, -26378, -26381, -4994, 16061]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16726, -16691, 4220, 4265, -16691, 16728]),
MeasRow(6e-09, [ 15998, -4870, -26379, -26382, -4818, 16028]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16726, -16691, 4412, 4071, -16691, 16728]),
MeasRow(6e-09, [ 15997, -4826, -26379, -26381, -4861, 16028]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16726, -16691, 4695, 3788, -16691, 16728]),
MeasRow(6e-09, [ 15994, -4760, -26378, -26381, -4924, 16027]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16726, -16691, 4875, 3600, -16691, 16728]),
MeasRow(6e-09, [ 15993, -4716, -26378, -26381, -4967, 16025]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16726, -16691, 5131, 3293, -16690, 16728]),
MeasRow(6e-09, [ 15992, -4651, -26379, -26381, -5036, 16024]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16726, -16690, 5948, -1802, -16686, 16728]),
MeasRow(6e-09, [ 16000, -4257, -26379, -26383, -6310, 16030]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16727, -16395, 17077, -17478, -16902, 16727]),
MeasRow(6e-09, [ 15983, -1513, -26376, -26429, -13521, 16018]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-49999998976e0-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16846, -17147, -17746, -17750, -17148, 16847]),
MeasRow(6e-09, [ 7759, -3725, -29381, -29385, -3821, 7807]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16846, -16857, 6178, 6181, -16858, 16847]),
MeasRow(6e-09, [ 8657, 10304, -29290, -29293, 10151, 8955]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16846, -16859, 9925, -2020, -16855, 16847]),
MeasRow(6e-09, [ 8313, 14381, -29283, -29310, 2338, 8788]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16846, -16859, 16771, -17503, -17079, 16847]),
MeasRow(6e-09, [ 8234, 16520, -29248, -29364, -11130, 8795]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16847, -16834, 16890, -17673, -17113, 16848]),
MeasRow(6e-09, [ 8254, 16537, -29246, -29364, -11231, 8811]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16846, -16802, 17010, -17741, -17120, 16847]),
MeasRow(6e-09, [ 8240, 16542, -29246, -29366, -11301, 8806]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16846, -16722, 17236, -17971, -17162, 16846]),
MeasRow(6e-09, [ 8249, 16557, -29243, -29369, -11447, 8812]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16844, -16483, 17659, -18379, -17265, 16845]),
MeasRow(6e-09, [ 8256, 16577, -29241, -29376, -11691, 8824]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-2windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16857, -16663, 17505, -18247, -17239, 16857]),
MeasRow(6e-09, [ 13464, 10716, -27951, -27983, -15026, 13941]),
],
}),
'61-buf-pos_out-6_1windings_in-0_0windings_out-2windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-49999998976e0-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16847, -17298, -17953, -17954, -17299, 16848]),
MeasRow(6e-09, [ 8076, -7305, -29350, -29353, -7364, 8114]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16847, -16931, 6443, 6436, -16932, 16848]),
MeasRow(6e-09, [ 8713, 3108, -29274, -29278, 2979, 8918]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16847, -16933, 9815, -1938, -16928, 16848]),
MeasRow(6e-09, [ 8434, 8527, -29276, -29290, -4408, 8849]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16848, -16930, 16728, -17693, -17183, 16848]),
MeasRow(6e-09, [ 8314, 15862, -29249, -29351, -15203, 8939]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16847, -16905, 16848, -17819, -17205, 16848]),
MeasRow(6e-09, [ 8311, 15904, -29248, -29354, -15248, 8936]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16847, -16877, 16985, -17947, -17227, 16848]),
MeasRow(6e-09, [ 8322, 15941, -29245, -29356, -15291, 8957]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16847, -16820, 17248, -18191, -17270, 16848]),
MeasRow(6e-09, [ 8323, 16004, -29243, -29359, -15356, 8957]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16846, -16679, 17729, -18642, -17378, 16847]),
MeasRow(6e-09, [ 8384, 16124, -29238, -29366, -15502, 9035]),
],
}),
'61-buf-pos_out-6_1windings_in-1_1windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16685, -17005, -16485, -16518, -17009, 16684]),
@ -4103,10 +4375,34 @@ DB = {
MeasRow(4e-09, [ 16856, -17157, -16608, -16641, -17162, 16855]),
MeasRow(6e-09, [ 13608, -4537, -28003, -28005, -4595, 13751]),
],
(-0.030, -0.020,): [
MeasRow(4e-09, [ 16855, -16877, 5547, 8888, -16880, 16855]),
MeasRow(6e-09, [ 13954, 11714, -27958, -27948, 15112, 14072]),
],
(-0.030, -0.010,): [
MeasRow(4e-09, [ 16856, -16877, 5545, 10704, -16882, 16855]),
MeasRow(6e-09, [ 13928, 11411, -27958, -27954, 15814, 14046]),
],
(-0.020, -0.010,): [
MeasRow(4e-09, [ 16856, -16881, 8878, 10686, -16882, 16855]),
MeasRow(6e-09, [ 13876, 14327, -27947, -27955, 15636, 14038]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16856, -16884, 11615, 11607, -16882, 16854]),
MeasRow(6e-09, [ 13804, 15757, -27949, -27952, 15736, 13972]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16856, -16884, 12485, 8805, -16879, 16855]),
MeasRow(6e-09, [ 13850, 16027, -27945, -27949, 13941, 14007]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16856, -16884, 13287, -1569, -16867, 16855]),
MeasRow(6e-09, [ 13863, 16326, -27943, -27959, 4500, 14066]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16856, -16885, 13833, -8590, -16862, 16855]),
MeasRow(6e-09, [ 13729, 16481, -27938, -27969, -2328, 13934]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16856, -16899, 15269, -16636, -17134, 16854]),
MeasRow(6e-09, [ 13600, 16669, -27941, -27986, -10311, 13872]),
@ -4121,28 +4417,186 @@ DB = {
],
}),
'61-buf-pos_out-6_2windings_in-0_0windings_out-2windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16855, -17329, -16750, -16784, -17334, 16856]),
MeasRow(6e-09, [ 13595, -7629, -27981, -27984, -7691, 13725]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16855, -17005, 11879, 11883, -17003, 16856]),
MeasRow(6e-09, [ 13917, 9179, -27953, -27955, 9145, 14043]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16855, -17004, 12692, 8892, -16999, 16856]),
MeasRow(6e-09, [ 13947, 10521, -27951, -27944, 6604, 14065]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16855, -17003, 13458, -1533, -16984, 16856]),
MeasRow(6e-09, [ 13914, 13591, -27950, -27952, -1816, 14059]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16856, -17002, 13951, -8528, -16975, 16856]),
MeasRow(6e-09, [ 13776, 15503, -27942, -27959, -7887, 13917]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16856, -17002, 15283, -16777, -17284, 16856]),
MeasRow(6e-09, [ 13753, 16261, -27941, -27979, -15161, 13855]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16855, -17000, 16625, -17906, -17555, 16855]),
MeasRow(6e-09, [ 13703, 16366, -27942, -28000, -15762, 13781]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16858, -16778, 17131, -18402, -17745, 16855]),
MeasRow(6e-09, [ 13720, 16406, -27938, -28021, -15939, 13792]),
],
}),
'61-buf-pos_out-8_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-1e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16816, -16747, -1439, -1501, -16748, 16813]),
MeasRow(6e-09, [ 15519, -1986, -27145, -27147, -2071, 15585]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16817, -16754, 4949, 4950, -16755, 16813]),
MeasRow(6e-09, [ 15447, 778, -27144, -27145, 755, 15518]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16817, -16754, 5159, 4705, -16754, 16813]),
MeasRow(6e-09, [ 15446, 974, -27144, -27144, 551, 15519]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16816, -16753, 5429, 4157, -16752, 16813]),
MeasRow(6e-09, [ 15452, 1280, -27145, -27143, 161, 15529]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16816, -16753, 5605, 3148, -16751, 16813]),
MeasRow(6e-09, [ 15456, 1604, -27145, -27145, -442, 15535]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16817, -16752, 5933, -1495, -16748, 16813]),
MeasRow(6e-09, [ 15458, 2600, -27145, -27147, -3001, 15545]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16817, -16766, 16094, -16398, -16838, 16813]),
MeasRow(6e-09, [ 15397, 8338, -27140, -27179, -12400, 15545]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16819, -16315, 17815, -18508, -17126, 16814]),
MeasRow(6e-09, [ 15376, 8881, -27143, -27193, -13394, 15528]),
],
}),
'61-buf-pos_out-8_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-2e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16852, -16945, -16423, -16459, -16947, 16853]),
MeasRow(6e-09, [ 13946, -7607, -27966, -27969, -7688, 14112]),
],
(-0.050, -0.120,): [
MeasRow(4e-09, [ 16853, -16848, -1503, -17857, -17099, 16853]),
MeasRow(6e-09, [ 13968, 4653, -27951, -27960, -11407, 14227]),
],
(-0.040, -0.120,): [
MeasRow(4e-09, [ 16853, -16850, 1718, -17857, -17099, 16853]),
MeasRow(6e-09, [ 13964, 7050, -27951, -27960, -11723, 14240]),
],
(-0.030, -0.020,): [
MeasRow(4e-09, [ 16853, -16834, 3430, 4255, -16833, 16854]),
MeasRow(6e-09, [ 14056, 3504, -27946, -27946, 4371, 14204]),
],
(-0.030, -0.010,): [
MeasRow(4e-09, [ 16852, -16834, 3412, 4813, -16834, 16854]),
MeasRow(6e-09, [ 14051, 3342, -27945, -27947, 4825, 14196]),
],
(-0.020, -0.080,): [
MeasRow(4e-09, [ 16853, -16835, 4288, -11462, -16823, 16853]),
MeasRow(6e-09, [ 13881, 7929, -27944, -27952, -7972, 14166]),
],
(-0.020, -0.010,): [
MeasRow(4e-09, [ 16853, -16835, 4232, 4808, -16834, 16854]),
MeasRow(6e-09, [ 14042, 4006, -27942, -27947, 4605, 14195]),
],
(-0.020, 0.000,): [
MeasRow(4e-09, [ 16853, -16835, 4225, 5241, -16834, 16854]),
MeasRow(6e-09, [ 14036, 3886, -27942, -27952, 4953, 14188]),
],
(-0.010, -0.080,): [
MeasRow(4e-09, [ 16853, -16835, 4824, -11462, -16823, 16853]),
MeasRow(6e-09, [ 13874, 8330, -27946, -27951, -8057, 14162]),
],
(-0.010, -0.030,): [
MeasRow(4e-09, [ 16853, -16835, 4808, 3403, -16833, 16854]),
MeasRow(6e-09, [ 14039, 4839, -27944, -27950, 3318, 14207]),
],
(-0.010, -0.020,): [
MeasRow(4e-09, [ 16853, -16835, 4803, 4232, -16833, 16854]),
MeasRow(6e-09, [ 14039, 4619, -27944, -27946, 3990, 14198]),
],
( 0.000, -0.040,): [
MeasRow(4e-09, [ 16853, -16834, 5234, 1656, -16831, 16854]),
MeasRow(6e-09, [ 14030, 5640, -27949, -27949, 1783, 14217]),
],
( 0.000, -0.030,): [
MeasRow(4e-09, [ 16853, -16834, 5233, 3393, -16833, 16854]),
MeasRow(6e-09, [ 14031, 5184, -27949, -27950, 3197, 14199]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16853, -16834, 5227, 5237, -16834, 16854]),
MeasRow(6e-09, [ 14020, 4694, -27949, -27951, 4684, 14176]),
],
( 0.010, -0.080,): [
MeasRow(4e-09, [ 16853, -16835, 5562, -11460, -16823, 16854]),
MeasRow(6e-09, [ 13865, 8873, -27952, -27952, -8166, 14155]),
],
( 0.010, -0.050,): [
MeasRow(4e-09, [ 16853, -16835, 5565, -1561, -16830, 16853]),
MeasRow(6e-09, [ 13994, 6693, -27950, -27949, -848, 14217]),
],
( 0.020, -0.080,): [
MeasRow(4e-09, [ 16853, -16835, 5852, -11458, -16823, 16853]),
MeasRow(6e-09, [ 13864, 9080, -27951, -27952, -8205, 14153]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16853, -16835, 5862, 4221, -16833, 16854]),
MeasRow(6e-09, [ 14024, 5461, -27949, -27946, 3704, 14186]),
],
( 0.040, -0.100,): [
MeasRow(4e-09, [ 16853, -16844, 6480, -16461, -16938, 16853]),
MeasRow(6e-09, [ 13907, 10262, -27951, -27967, -11244, 14216]),
],
( 0.050, -0.150,): [
MeasRow(4e-09, [ 16853, -16855, 6905, -18053, -17126, 16853]),
MeasRow(6e-09, [ 13913, 10724, -27951, -27962, -12116, 14205]),
],
( 0.050, -0.100,): [
MeasRow(4e-09, [ 16853, -16844, 6917, -16461, -16939, 16853]),
MeasRow(6e-09, [ 13905, 10556, -27949, -27968, -11264, 14215]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16853, -16836, 6948, -1554, -16830, 16854]),
MeasRow(6e-09, [ 13982, 7749, -27946, -27949, -1167, 14212]),
],
( 0.060, -0.120,): [
MeasRow(4e-09, [ 16853, -16854, 7545, -17856, -17098, 16853]),
MeasRow(6e-09, [ 13918, 11129, -27947, -27962, -12025, 14218]),
],
( 0.070, -0.130,): [
MeasRow(4e-09, [ 16853, -16856, 8897, -17985, -17114, 16853]),
MeasRow(6e-09, [ 13906, 12051, -27947, -27963, -12124, 14205]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16852, -16838, 8916, -8158, -16825, 16854]),
MeasRow(6e-09, [ 13854, 10666, -27943, -27955, -6451, 14150]),
],
( 0.080, -0.140,): [
MeasRow(4e-09, [ 16853, -16860, 11904, -18080, -17133, 16854]),
MeasRow(6e-09, [ 13905, 13967, -27953, -27964, -12202, 14207]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16852, -16850, 16049, -16455, -16937, 16853]),
MeasRow(6e-09, [ 13886, 15608, -27939, -27970, -11479, 14210]),
],
( 0.100, 0.000,): [
MeasRow(4e-09, [ 16852, -16842, 16058, 5245, -16833, 16854]),
MeasRow(6e-09, [ 13982, 12888, -27941, -27951, 1904, 14172]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16853, -16640, 17352, -18178, -17145, 16853]),
MeasRow(6e-09, [ 13887, 15835, -27944, -27969, -12366, 14188]),
@ -4152,4 +4606,38 @@ DB = {
MeasRow(6e-09, [ 13899, 15945, -27944, -27977, -12752, 14196]),
],
}),
'61-buf-pos_out-8_1windings_in-0_0windings_out-1windings_couple-0.0004rad-2000ctl_cond-20000coupling_cond-2000ps-100ps-4coupling-4e10-drive-': ParameterizedMeas({
(-0.100, -0.100,): [
MeasRow(4e-09, [ 16847, -17211, -18293, -18299, -17212, 16848]),
MeasRow(6e-09, [ 10160, -5134, -28998, -29000, -5198, 10239]),
],
( 0.000, 0.000,): [
MeasRow(4e-09, [ 16848, -16854, 5415, 5412, -16855, 16848]),
MeasRow(6e-09, [ 10564, 9186, -28916, -28921, 9109, 10929]),
],
( 0.020, -0.020,): [
MeasRow(4e-09, [ 16848, -16856, 7474, 1292, -16854, 16848]),
MeasRow(6e-09, [ 10571, 11808, -28910, -28920, 5204, 11031]),
],
( 0.050, -0.050,): [
MeasRow(4e-09, [ 16848, -16868, 16004, -16564, -16977, 16848]),
MeasRow(6e-09, [ 10593, 16466, -28890, -28978, -10991, 10908]),
],
( 0.070, -0.070,): [
MeasRow(4e-09, [ 16847, -16759, 17133, -18122, -17156, 16848]),
MeasRow(6e-09, [ 10526, 16546, -28897, -28984, -11956, 10796]),
],
( 0.100, -0.100,): [
MeasRow(4e-09, [ 16847, -16713, 17304, -18284, -17185, 16848]),
MeasRow(6e-09, [ 10503, 16558, -28896, -28987, -12052, 10771]),
],
( 0.200, -0.200,): [
MeasRow(4e-09, [ 16847, -16612, 17658, -18605, -17242, 16848]),
MeasRow(6e-09, [ 10489, 16581, -28895, -28993, -12233, 10744]),
],
( 1.000, -1.000,): [
MeasRow(4e-09, [ 16847, -16379, 18298, -19178, -17348, 16847]),
MeasRow(6e-09, [ 10488, 16624, -28892, -29006, -12545, 10743]),
],
}),
}

View File

@ -7176,60 +7176,68 @@ fn main() {
// e.g. L= 8, Yc=1, A=2, Yl=1 gives 8*5 = 40
// special case of A=0 is L*(2 + 1)
// areas of focus (annotated further below)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 8, 1, 0, 0, um(400), 2e10),
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 2, 0, 0, um(400), 2e10),
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 2, 6, 2, 0, 0, um(400), 2e10),
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 2e10),
("pos", 2e3, 2e4, ps(2000), ps(100), 5, 2, 4, 1, 0, 0, um(400), 2e10),
("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 0, 0, um(400), 2e10),
// (16700, -18500) -> (-2600, -16200); slope: 0.38 (0.47 peak)
// ("neg", 2e3, 2e4, ps(2000), ps(100), 6, 2, 2, 1, 2, 1, um(400), 2e10), // asym load
// // (16700, -18500) -> (-2600, -16200); slope: 0.38 (0.47 peak)
// // ("neg", 2e3, 2e4, ps(2000), ps(100), 6, 2, 2, 1, 2, 1, um(400), 2e10), // asym load
// // (17200, -19500) -> (8000, -14400); slope: 0.60 (0.70 peak)
// (17200, -19500) -> (8000, -14400); slope: 0.60 (0.70 peak)
// ("neg", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 1, 1, um(400), 2e10), // sym load, min
// // (16900, -18200) -> (1100, -14600)
// // outer is -> (10200, -2900) -- PRETTY GOOD BY COMPARISON
// // ("pos", 2e3, 2e4, ps(2000), ps(100), 6, 2, 2, 1, 2, 1, um(400), 2e10), // asym load
// (16900, -18200) -> (1100, -14600)
// outer is -> (10200, -2900) -- PRETTY GOOD BY COMPARISON
// ("pos", 2e3, 2e4, ps(2000), ps(100), 6, 2, 2, 1, 2, 1, um(400), 2e10), // asym load
// // (17500, -19200) -> (14200, -12600)
// (17500, -19200) -> (14200, -12600)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 1, 1, um(400), 2e10), // sym load, min
// (16800, -17800) -> (-1300, -13300)
// outer is -> (8000, -6100) -- more exterior transition than interior
("pos", 2e3, 2e4, ps(2000), ps(100), 5, 1, 2, 1, 4, 1, um(400), 2e10),
// TODO
("pos", 2e3, 2e4, ps(2000), ps(100), 5, 1, 1, 1, 4, 1, um(400), 2e10),
// TODO
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 4, 1, 4, 1, um(400), 2e10),
// (16800, -17800) -> (-1300, -13300); slope: 0.33 (peak: 0.53)
// outer is -> ( 8000, -6100) -- more exterior transition than interior
// ("pos", 2e3, 2e4, ps(2000), ps(100), 5, 1, 2, 1, 4, 1, um(400), 2e10),
// (16500, -17300) -> (-3400, -13400); slope: 0.28 (peak: 0.41)
// outer is -> ( 6500, -6500)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 5, 1, 1, 1, 4, 1, um(400), 2e10),
// (17000, -17800) -> (-2700, -13300); slope: 0.29 (peak: 0.41)
// outer is -> ( 9600, -800) -- exterior neg core transitions too much
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 4, 1, 4, 1, um(400), 2e10),
// // SUS: too many slots (48)
// // (17700, -19400) -> (2400, -13300)
// // ("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 1, 2, um(400), 2e10),
// // (17300, -18700) -> (15500, -12100)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 1, 2, um(400), 2e10),
// (17300, -18700) -> (15500, -12100)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 7, 1, 2, 1, 1, 2, um(400), 2e10),
// // recreating 53-xx
// // (17400, -19400) -> (13500, -16600)
// recreating 53-xx
// (17400, -19400) -> (13500, -16600)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 8, 2, 2, 1, 0, 0, um(400), 2e10),
// // 53-xx with less out-core coupling
// // (17400, -19100) -> (16800, -14700); slope: 0.90 (0.98 peak)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 0, 0, um(400), 2e10),
// // (17800, -19000) -> (16800, -13400)
// 53-xx with less out-core coupling
// (17400, -19100) -> (16800, -14700); slope: 0.90 (0.98 peak)
("pos", 2e3, 2e4, ps(2000), ps(100), 8, 1, 2, 1, 0, 0, um(400), 2e10),
// (17800, -19000) -> (16800, -13400)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 6, 1, 4, 1, 0, 0, um(400), 2e10),
// // **SELECT: (17400, -18400) -> (15800, -16300); slope: 0.93 (0.97 peak)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 5, 2, 4, 1, 0, 0, um(400), 2e10),
// // (17500, -18200) -> (10700, -15000)
// **SELECT: (17400, -18400) -> (15800, -16300); slope: 0.93 (0.97 peak)
("pos", 2e3, 2e4, ps(2000), ps(100), 5, 2, 4, 1, 0, 0, um(400), 2e10),
// (17500, -18200) -> (10700, -15000)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 2, 6, 1, 0, 0, um(400), 2e10),
// (17400, -1800) -> (15800, -12000); slope: 0.82 (1.01 peak)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 2e10),
// (18100, -18800) -> (15900, -12800)
// **SELECT: (17400, -18000) -> (15800, -12000); slope: 0.82 (1.01 peak)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 2e10),
// (-17300, -17700) -> (10400, -12400); slope: 0.61 (peak: 0.80)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 1e10),
// (17100, -17500) -> (-1500, -13500); slope: 0.35 (peak: 0.51)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 5e9),
// (17700, -18400) -> (16600, -11700); slope: 0.73 (peak: 1.00)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 0, 0, um(400), 5e10),
// **SELECT: (17700, -18600) -> (16100, -15500); slope: 0.83 (peak: 1.09)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 2, 6, 1, 0, 0, um(400), 5e10),
// **SELECT: (18100, -18800) -> (15900, -12800); slope: 0.63 (peak: 1.06)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 8, 1, 0, 0, um(400), 2e10),
// (17500, -18200) -> (10400, -11800); slope: 0.62
// (18300, -19200) -> (16600, -12500); slope: 0.80 (peak: 1.06)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 8, 1, 0, 0, um(400), 4e10),
// TODO (this might be too many slots)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 2, 8, 1, 0, 0, um(400), 4e10),
// (17800, -18500) -> (8900, -13400); slope: 0.63 (peak: 0.73)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 8, 1, 0, 0, um(400), 1e10),
// (17500, -18200) -> (10400, -11800); slope: 0.62 (peak: 0.62)
// ("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 1, 1, 1, um(400), 2e10),
// (17100, -18100) -> (16700, -11800)
// (17100, -18100) -> (16700, -11800); slope: 0.83 (peak: 0.89)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 1, 6, 2, 0, 0, um(400), 2e10),
// **SELECT: (17100, -18400) -> (16400, -15900)
// **SELECT: (17100, -18400) -> (16400, -15900); slope: 0.90 (peak: 1.06)
("pos", 2e3, 2e4, ps(2000), ps(100), 4, 2, 6, 2, 0, 0, um(400), 2e10),
// completely decouple the output cores from eachother